This commit is contained in:
2024-07-09 20:53:40 +03:00
parent 60e4f65988
commit 610e059b8a
20 changed files with 809 additions and 0 deletions

16
tests/test2Tb.v Normal file
View File

@ -0,0 +1,16 @@
module test2Tb();
reg [3:0] a;
wire [6:0] b;
test2 uut(a,b);
initial begin
$dumpfile("test2v.vcd");
$dumpvars;
$display("Success!");
a = 4'd5; #10;
$finish;
end
endmodule