final fpga
This commit is contained in:
parent
6b83c0f2e7
commit
e91a8471ac
25
gowin/bttn/bttn.gprj
Normal file
25
gowin/bttn/bttn.gprj
Normal file
@ -0,0 +1,25 @@
|
||||
<?xml version="1" encoding="UTF-8"?>
|
||||
<!DOCTYPE gowin-fpga-project>
|
||||
<Project>
|
||||
<Template>FPGA</Template>
|
||||
<Version>5</Version>
|
||||
<Device name="GW2A-18C" pn="GW2A-LV18PG256C8/I7">gw2a18c-011</Device>
|
||||
<FileList>
|
||||
<File path="src/ALU.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/BinaryToBCD.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/addition.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/arithmeticUnit.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/bttn.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/dabble.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/fulladder.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/fullsubtraction.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/halfadder.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/halfsubtraction.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/logicUnit.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/multiplier.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/opCode.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/selector.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/subtraction.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/bttn.cst" type="file.cst" enable="1"/>
|
||||
</FileList>
|
||||
</Project>
|
@ -7,8 +7,6 @@
|
||||
<Process ID="Pnr" State="2"/>
|
||||
<Process ID="Gao" State="2"/>
|
||||
<Process ID="Rtl_Gao" State="2"/>
|
||||
<Process ID="Gvio" State="2"/>
|
||||
<Process ID="Place" State="2"/>
|
||||
</FlowState>
|
||||
<ResultFileList>
|
||||
<ResultFile ResultFileType="RES.netlist" ResultFilePath="impl/gwsynthesis/bttn.vg"/>
|
||||
@ -22,10 +20,5 @@
|
||||
<ResultFile ResultFileType="RES.syn.report" ResultFilePath="impl/gwsynthesis/bttn_syn.rpt.html"/>
|
||||
<ResultFile ResultFileType="RES.syn.resource" ResultFilePath="impl/gwsynthesis/bttn_syn_rsc.xml"/>
|
||||
</ResultFileList>
|
||||
<<<<<<< HEAD
|
||||
<Ui>000000ff00000001fd00000002000000000000018e0000051efc0200000001fc000000630000051e0000011201000027fa000000000200000003fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff000000c000fffffffb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff000000bc00fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff000000ea00ffffff0000000300000ab000000145fc0100000001fc0000000000000ab0000000e700fffffffa000000000100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000009e00fffffffb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000e700ffffff0000091a0000051e00000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000bdffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c007301000001a5ffffffff0000000000000000ffffffff0100000275ffffffff0000000000000000</Ui>
|
||||
=======
|
||||
<Ui>000000ff00000001fd00000002000000000000018e0000025dfc0200000001fc000000370000025d0000000000fffffffaffffffff0200000004fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000000000000000fb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff0000000000000000fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000000000030000078000000145fc0100000001fc00000000000007800000009b00fffffffa000000000100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100fffffffb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff0000009b00ffffff000005ee0000025d00000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000adffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c0073010000017fffffffff0000000000000000000000280043006f00720065002e0054006f006f006c006200610072002e00500072006f00630065007300730100000245ffffffff0000000000000000</Ui>
|
||||
<FpUi></FpUi>
|
||||
>>>>>>> 15916a2c534beff06a16239dd4912b40b7f837b6
|
||||
<Ui>000000ff00000001fd00000002000000000000018e0000051efc0200000001fc000000630000051e0000000000fffffffaffffffff0200000003fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000000000000000fb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff00000000000000000000000300000ab000000145fc0100000001fc0000000000000ab0000000e700fffffffa000000000100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000009e00fffffffb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000e700ffffff0000091a0000051e00000004000000040000000800000008fc000000010000000200000003000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000bdffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c007301000001a5ffffffff0000000000000000</Ui>
|
||||
</UserConfig>
|
||||
|
2
gowin/bttn/bttn.gprj.userZone.Identifier
Normal file
2
gowin/bttn/bttn.gprj.userZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
2
gowin/bttn/bttn.gprjZone.Identifier
Normal file
2
gowin/bttn/bttn.gprjZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
@ -4,7 +4,6 @@
|
||||
"CPU" : false,
|
||||
"CRC_CHECK" : true,
|
||||
"Clock_Route_Order" : 0,
|
||||
"Convert_SDP32_36_to_SDP16_18" : true,
|
||||
"Correct_Hold_Violation" : true,
|
||||
"DONE" : false,
|
||||
"DOWNLOAD_SPEED" : "default",
|
||||
@ -19,7 +18,7 @@
|
||||
"EXTERNAL_MASTER_CONFIG_CLOCK" : false,
|
||||
"Enable_DSRM" : false,
|
||||
"FORMAT" : "binary",
|
||||
"FREQUENCY_DIVIDER" : "1",
|
||||
"FREQUENCY_DIVIDER" : "",
|
||||
"Generate_Constraint_File_of_Ports" : false,
|
||||
"Generate_IBIS_File" : false,
|
||||
"Generate_Plain_Text_Timing_Report" : false,
|
||||
@ -32,8 +31,6 @@
|
||||
"HOTBOOT" : false,
|
||||
"I2C" : false,
|
||||
"I2C_SLAVE_ADDR" : "00",
|
||||
"INCREMENTAL_PLACE_AND_ROUTING" : "0",
|
||||
"INCREMENTAL_PLACE_ONLY" : "0",
|
||||
"IncludePath" : [
|
||||
|
||||
],
|
||||
@ -81,7 +78,6 @@
|
||||
"TopModule" : "",
|
||||
"USERCODE" : "default",
|
||||
"Unused_Pin" : "As_input_tri_stated_with_pull_up",
|
||||
"VCC" : "1.0",
|
||||
"VCCAUX" : 3.3,
|
||||
"VCCX" : "3.3",
|
||||
"VHDL_Standard" : "VHDL_Std_1993",
|
||||
|
2
gowin/bttn/impl/bttn_process_config.jsonZone.Identifier
Normal file
2
gowin/bttn/impl/bttn_process_config.jsonZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
130
gowin/bttn/impl/gwsynthesis/bttn.log
Normal file
130
gowin/bttn/impl/gwsynthesis/bttn.log
Normal file
@ -0,0 +1,130 @@
|
||||
GowinSynthesis start
|
||||
Running parser ...
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\arithmeticUnit.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\dabble.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfadder.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfsubtraction.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\logicUnit.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\multiplier.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\opCode.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\selector.v'
|
||||
Analyzing Verilog file '\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v'
|
||||
Compiling module 'bttn'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.v":1)
|
||||
Compiling module 'ALU'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v":1)
|
||||
Compiling module 'opCode'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\opCode.v":1)
|
||||
Compiling module 'arithmeticUnit'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\arithmeticUnit.v":1)
|
||||
Compiling module 'addition'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":1)
|
||||
Compiling module 'fulladder'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":1)
|
||||
Compiling module 'halfadder'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfadder.v":1)
|
||||
Compiling module 'subtraction'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v":1)
|
||||
Compiling module 'fullsubtraction'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":1)
|
||||
Compiling module 'halfsubtraction'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfsubtraction.v":1)
|
||||
Compiling module 'logicUnit'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\logicUnit.v":1)
|
||||
Compiling module 'multiplier'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\multiplier.v":1)
|
||||
Compiling module 'BinaryToBCD'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":1)
|
||||
Compiling module 'dabble'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\dabble.v":1)
|
||||
Compiling module 'selector'("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\selector.v":1)
|
||||
NOTE (EX0101) : Current top module is "bttn"
|
||||
[5%] Running netlist conversion ...
|
||||
Running device independent optimization ...
|
||||
[10%] Optimizing Phase 0 completed
|
||||
[15%] Optimizing Phase 1 completed
|
||||
[25%] Optimizing Phase 2 completed
|
||||
Running inference ...
|
||||
[30%] Inferring Phase 0 completed
|
||||
[40%] Inferring Phase 1 completed
|
||||
[50%] Inferring Phase 2 completed
|
||||
[55%] Inferring Phase 3 completed
|
||||
Running technical mapping ...
|
||||
[60%] Tech-Mapping Phase 0 completed
|
||||
[65%] Tech-Mapping Phase 1 completed
|
||||
[75%] Tech-Mapping Phase 2 completed
|
||||
[80%] Tech-Mapping Phase 3 completed
|
||||
[90%] Tech-Mapping Phase 4 completed
|
||||
WARN (NL0002) : The module "ALU" instantiated to "a1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.v":13)
|
||||
WARN (NL0002) : The module "arithmeticUnit" instantiated to "aU" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v":20)
|
||||
WARN (NL0002) : The module "addition" instantiated to "a1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\arithmeticUnit.v":13)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f0" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":11)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":12)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":13)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f3" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":14)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "subtraction" instantiated to "s1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\arithmeticUnit.v":14)
|
||||
WARN (NL0002) : The module "fullsubtraction" instantiated to "f0" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v":11)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":8)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":9)
|
||||
WARN (NL0002) : The module "fullsubtraction" instantiated to "f1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v":12)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":8)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":9)
|
||||
WARN (NL0002) : The module "fullsubtraction" instantiated to "f2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v":13)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":8)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":9)
|
||||
WARN (NL0002) : The module "fullsubtraction" instantiated to "f3" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v":14)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":8)
|
||||
WARN (NL0002) : The module "halfsubtraction" instantiated to "hf2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v":9)
|
||||
WARN (NL0002) : The module "BinaryToBCD" instantiated to "btod1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v":76)
|
||||
WARN (NL0002) : The module "dabble" instantiated to "d1t" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":21)
|
||||
WARN (NL0002) : The module "dabble" instantiated to "d2u" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":30)
|
||||
WARN (NL0002) : The module "dabble" instantiated to "d3v" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":39)
|
||||
WARN (NL0002) : The module "dabble" instantiated to "d4w" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":48)
|
||||
WARN (NL0002) : The module "dabble" instantiated to "d5x" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":57)
|
||||
WARN (NL0002) : The module "dabble" instantiated to "d6y" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":66)
|
||||
WARN (NL0002) : The module "dabble" instantiated to "d7z" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v":75)
|
||||
WARN (NL0002) : The module "logicUnit" instantiated to "lU" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v":21)
|
||||
WARN (NL0002) : The module "multiplier" instantiated to "mU" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v":22)
|
||||
WARN (NL0002) : The module "addition" instantiated to "add0" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\multiplier.v":33)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f0" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":11)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":12)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":13)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f3" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":14)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "addition" instantiated to "add1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\multiplier.v":49)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f0" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":11)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":12)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":13)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f3" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":14)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "addition" instantiated to "add2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\multiplier.v":65)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f0" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":11)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":12)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":13)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "fulladder" instantiated to "f3" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v":14)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h1" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":8)
|
||||
WARN (NL0002) : The module "halfadder" instantiated to "h2" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v":9)
|
||||
WARN (NL0002) : The module "opCode" instantiated to "opCd" is swept in optimizing("\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v":18)
|
||||
[95%] Generate netlist file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg" completed
|
||||
[100%] Generate report file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn_syn.rpt.html" completed
|
||||
GowinSynthesis finish
|
2
gowin/bttn/impl/gwsynthesis/bttn.logZone.Identifier
Normal file
2
gowin/bttn/impl/gwsynthesis/bttn.logZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
33
gowin/bttn/impl/gwsynthesis/bttn.prj
Normal file
33
gowin/bttn/impl/gwsynthesis/bttn.prj
Normal file
@ -0,0 +1,33 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!DOCTYPE gowin-synthesis-project>
|
||||
<Project>
|
||||
<Version>beta</Version>
|
||||
<Device id="GW2A-18C" package="PBGA256" speed="8" partNumber="GW2A-LV18PG256C8/I7"/>
|
||||
<FileList>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\arithmeticUnit.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\dabble.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfadder.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfsubtraction.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\logicUnit.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\multiplier.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\opCode.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\selector.v" type="verilog"/>
|
||||
<File path="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v" type="verilog"/>
|
||||
</FileList>
|
||||
<OptionList>
|
||||
<Option type="disable_insert_pad" value="0"/>
|
||||
<Option type="global_freq" value="100.000"/>
|
||||
<Option type="looplimit" value="2000"/>
|
||||
<Option type="output_file" value="\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg"/>
|
||||
<Option type="print_all_synthesis_warning" value="0"/>
|
||||
<Option type="ram_rw_check" value="0"/>
|
||||
<Option type="verilog_language" value="verilog-2001"/>
|
||||
<Option type="vhdl_language" value="vhdl-1993"/>
|
||||
</OptionList>
|
||||
</Project>
|
2
gowin/bttn/impl/gwsynthesis/bttn.prjZone.Identifier
Normal file
2
gowin/bttn/impl/gwsynthesis/bttn.prjZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
465
gowin/bttn/impl/gwsynthesis/bttn.vg
Normal file
465
gowin/bttn/impl/gwsynthesis/bttn.vg
Normal file
@ -0,0 +1,465 @@
|
||||
//
|
||||
//Written by GowinSynthesis
|
||||
//Tool Version "V1.9.9.03 Education (64-bit)"
|
||||
//Mon Jan 20 18:30:58 2025
|
||||
|
||||
//Source file index table:
|
||||
//file0 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v"
|
||||
//file1 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v"
|
||||
//file2 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v"
|
||||
//file3 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v"
|
||||
//file4 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v"
|
||||
//file5 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v"
|
||||
//file6 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v"
|
||||
//file7 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v"
|
||||
//file8 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v"
|
||||
//file9 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v"
|
||||
//file10 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/logicUnit.v"
|
||||
//file11 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v"
|
||||
//file12 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/opCode.v"
|
||||
//file13 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/selector.v"
|
||||
//file14 "\//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v"
|
||||
`pragma protect begin_protected
|
||||
`pragma protect version="2.3"
|
||||
`pragma protect author="default"
|
||||
`pragma protect author_info="default"
|
||||
`pragma protect encrypt_agent="GOWIN"
|
||||
`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`pragma protect key_block
|
||||
eGk5E7FWM7XTS1RPwmDuxjUqU2pNgYj8kCv843RHynC6Fg4VCHdncp+0s34OHGg3o/ZTH6aQw4p9
|
||||
6Sn59rctdvl/WGZgI7LpJWXkaZNlC52qOrmnqzC5WZE2Vs87qQPn4oMiYPEH+tdh4ccTohJbNmeH
|
||||
vKxzcWSjf3CMz7pyKMHgqUTueTGuPUfsJf69K4hqYitSVMzxb62ZYQP48s9kDeIfdcDFUY4/NRDe
|
||||
Wb/y2nXP66IA+O2a6wfMauNThx+w0ozj9XmMYm9UB1sr8V0LiWXtfcHrRyPNtmOtxdtckPA28942
|
||||
HW2T/Ftmtrpig38QkEfums/xdIWe7bwzy5nT8A==
|
||||
|
||||
`pragma protect encoding=(enctype="base64", line_length=76, bytes=24000)
|
||||
`pragma protect data_keyowner="default-ip-vendor"
|
||||
`pragma protect data_keyname="default-ip-key"
|
||||
`pragma protect data_method="aes128-cfb"
|
||||
`pragma protect data_block
|
||||
yV3DXKNNwT+jjnjjmzzsTVBvJGgh4K4asTsNDDXbRWDVjXajCOLghO00m2PvZ3DmFtUVgoNka5a1
|
||||
vaKRDUnf1SVV6fn4C819SsnuGnarJAJHWgvjm+mgsmgJcCT4O4dhlc+xGYdMDxUZGnnD/tVK5o93
|
||||
FGn5PfeE/La6jp7bWr5aLkwaWJho7kjGITQIOpfb5iKeq2Pf8vfpMiLcSuFbfjDqCH/fAWGUYc9Q
|
||||
zgZ4RfWgRe7emrUEQcOmmbLaEFfsCfsPysCKUnEaII1prHgNZfywyzMM5sIj4otLmDnNn1YHU1pu
|
||||
2Bu+UGe5v1TYXmAMPSm3X95HJM7N7u4dA7Yr+jlMuE/ziPVSvRhzxRzj8WTxTm6run7jn2EyVU5T
|
||||
Z+We+SanAle57C/B7JT549ihzlZ5BPzPVLrzutqzCpKWIsdF09mnCXVyR9UWHvr+ZXI+DBmpzonx
|
||||
iHRxVn3e0H6Vx1dvSVbjq/Tu8uViJRtd42/3z99F0NftqMfXMW/TF2+zHWf7Cn5dLeVXANiPryJd
|
||||
TEG1GzWKjDGu6qyjeNMRacVxNEGCVilosgvpuiRDNP7p1TDtEYH0kn5aDc7iuGIPxKnabVr3R/H9
|
||||
QqgGUu3Lxe1ur7BzA4HqLn0DaMBNFZ6J0hZUE3mKJ3mSblUewMeApU8LRjWYO3+qZ8Ie+2yO7he4
|
||||
jGcfzVh2qhqr/39Z+0DAEwXo7c6kje0/wvILQOr6ksW0/dfJICvjTNzNdzJHhX1/7yLI1sTAbnlM
|
||||
kVQlVdvp01iO3wd3x+WAJC5h8DHtYFomBa9bbd/LPOAGSvoNw84lKDt9XQfKyw4ZeeTZsOu3IsnN
|
||||
W24wv6zDENq0QxxGVt6DKaZUZhjd0/xcCMbfn4dgoq89HnO6IJ9SCLoVkEp1WRnx3b8oK0pOLthB
|
||||
/P1HP0BiUWIO8TEKp8jom8oqY1y72fbZ8xhzslcko5kK/HaeUM7ibqo9C5bh2oSnoLdtKjB+/s7j
|
||||
3NFyWns2ptynT3YkLSrT9J2ubFKIykEy9ZzzB9UfycMPMrsal7XyNvNOm7KDnGGO/Ljwqb7UE2Wf
|
||||
4ZkpqY9A2mqKJrMJPqTaMvWh01nurJ4F7/RHE/RI9D/xek0VIHJyTbPGvWyvDY1BJmqgntW7tY6U
|
||||
1XghDH3CBUyfZjdmvItz1DzYqOHMhAm6ea6D39ikYDc7sMpy6mOgN+/8aaBl6zoCTKiD7XNhE4Dc
|
||||
KNOAh2etvXFXsEAfPV5jO2IM8fLpkU1PSS73OOlRO0/xvdds2LKkVT/dxvZT4xITkik98irOjeG+
|
||||
qIOt2aQTthejOmjgbrLkmp5Ni8rt6i/u0Mp6QVWkC0bsRAsbroTA7LA7Mrf5i7DI10CDIhHUySXZ
|
||||
kCvBSx7YrPdNpWeAg1b91cbfEiNol02rVhNgag8LUEadJlNhXkqaYmUi1euF1fDgGgr9REwVrVIG
|
||||
vcKxeFmz4+puWYpFpunEgbTyEZ9MkQkf2tTLNDQCd2M9UJu5Fe0l1t8RhphSl/UiWKepIPx7rOMg
|
||||
wEAMn/Gqw4yC0Q/ggHmY8WtX4nkCWcJvBVK58jnF/OwjMuWum/nwLHFNE6+2GUbqERc3+9oKGWKJ
|
||||
w5eF3qWJL3QEOvjA9AJeXGMl6TdSw4uflHSeu+CvNZvkNhWOHGUbn+Rm8WkIh8I3z9FEXDvba880
|
||||
wIir0KhMpj+bTfbVOiIqJmILSV5R+CDWu3Hg+pqSCrpbbhh2XhFyHcdnMkcKnbLfC4BwUqtnfm7d
|
||||
o1Mt3yphnUcIyJkT4t7EEU0dnjW2620JulswRVESqEDHZxGou23lPa9heboOtEzi0EcRrrRLVrI9
|
||||
YeJwZhT6KoFaE82DfD+A4ivVZcUCB5CV99Rglk70wy+6T1PCG6Mxk1ugh1V9Glg6TfjfCTWTwR1H
|
||||
emI+KJDzk/hrW11nt92Dz1XRO0G9sMmRkOLEmqntCjnd2MoT4AnUyK2klQD+2SIwrARaN9mGCLwL
|
||||
DsRhmL4Kz8fkbe7omPW8hvXgM3NKnuH+kbO/20gUZSnEO3T7za69aMpPoX/ZIfqGuFMUpGJEw7IR
|
||||
Xwd0iap3YNxQTTUxnaJDR1faViRiSf7sxLmXxU5RJj24oEiQNok2jwkv8AqcrDCEZr43CH9g4xcY
|
||||
5ORF3y7XBY+h95preGpbeEWXh1jnLEZTC1lXv2fCYHEk8cyOXOWz2juVUfx58cZIhSrOVx2mnCN/
|
||||
8O8oqI7ob+h7uYIEZh8AXI+KJ4+T0fNBrDGmI1Q5Wir/6wEwye+Zwc1tMBxQslIpBA/e/gOQlVuV
|
||||
4m/dPUNnhmIElpEMh+IiRPVsJHIW8KkQa9oXOqGruNDGBM7erIUOO87bCIq+dwom24yGWKgvrUhP
|
||||
rXHCny619tA5FFqdqeQ5DCUrMAcsXVhPrwSQj9J6t/lWdo2Kve66N5jf1EI06J757om4fWe+cnjB
|
||||
tSZkyBSgPx3Nsa4G8R4YpeKkvsz7Cb1JJmjnwC3FngXg0XrA1I1XFcYkkdmATiWBAZjMGcC39EG7
|
||||
VPoIBZSU/XhMOVY969ZvnROirkiZea86JRDjz3pZMRN9FzVcAUanYppodnSHLhLvNfi94ADcSc02
|
||||
Sdu5Vh0Fz7yKvxSHGKpIVftgC1lJs/lukIcWcOxv0qySB0EThxu0rLXfLaWI+Yv7ZLDDu2dGD3KC
|
||||
nCQiWP8/QtA31q0pX07BcxupRBcZc48a3tett5dzZ/4QcSH67DdxBTCrkPRBhFSX98qwH8E1262y
|
||||
9niLhvG7FJO87uM2IJf6h0O9vvCAEc52ZwaSFNHEe5oj9EW9vb0dWJQFjLxIzVqFKOW8HbmjcUIb
|
||||
HCczXmvOWCsfuZP01Od/cuO2HJPIcyuv/XC0ZsqRcpKJ/VKRAummjy7EBXuypaOHoj8qXpSmquSb
|
||||
k1oWAEJwvJST6V+cJCnlAcIZLypY1t20CS8A4H4bTGlZuozpJsJcVoKTi2/M25WgDPgqIqB47d8N
|
||||
b5uy8jqCLFrVST1LJX7D1/Wh16aCIoIisCRTnpmIGmb8c9/c8/kqVqmmmPxgd2l1aDoBw+HaQZi6
|
||||
BQrJqPswvavcsUJiWFqRI3YWE4p5HOLxL/+7M6zLgyxx646FI3hGpRFd7+5FwHLqQrDR+L5Clu8A
|
||||
YFgQv9/nNbFWPbZiM4B8NdwO2UjlQSlnoNGOIho6j8ZJwvZil2UBEgOidoxLv1EF08PUW0g0zse2
|
||||
ZViKtSg7tLK1E7ZJ4zjaZniyiim/ARu7hqdAuIATdL10Qo0AJZW7mIY4VIi+jLsNP73T8EgS1Mw3
|
||||
RbET73Un6HdmDtSAb+2f4upPGFA2/sWvKlR2L1Ajc/NtjkE4ItQyqIVGKeJNkGXqMBIOu68OGniU
|
||||
Uu6yg7Ag4sW8FJW5vjsWc0B30HxPHIoYUimD3UUuG6LxFnLnrNvyAmAZM5U/NI3O7BobHGVuhXX5
|
||||
QRotkdsbMdFTlPV03EXavbAe9DF5ucm1hj9lmYrK1nwYhMk7WxzgEfM4PN+hEJJkClFR9eF0LHg5
|
||||
EW3wCFnOMJ7LQ6b1OoKyq+1u4eVnS7qAdZ/fk5e6OYRMW4k0cDyO8ABpVPr3f7V2Lwaxn/1sDaMc
|
||||
OGj6OQVfFtCGjHQmQYYfiH8Ll6cKd+Fl2UTEyWfSmXsZc4wjcMenAFHjd/lDwlShVqmfEDBfSWsO
|
||||
DFRcxmUCfyDDU5+ivOR6DOzoEPCW5WH6QTTh+6ZIzKiDN+mMJpDd1hhOK3s5hEahfnbyPpFyRm4L
|
||||
gug0SA0h+Qd/l2ZO4onC66YsEE8DGqLu2X2Y1Gonc2MwKTmBhWqau5xVhdw4+8NB+nqNR10jXcFU
|
||||
QAkDkDR+bOqFeYFpQqdkV1VV2ikqZkIFH5Xl7JD96XYWCJR0M5K+CZi9C6cw7QO6yGYSbzNdzp8K
|
||||
p5rrSeVS7PLunvbNUWHJQhSn1l5/342NM/gTyjHrYXRU5oitJTMTuk/uTvpv2jym7To1XjgdbytB
|
||||
UoCkpB8L+oSwcxRU+Sv+GPe47aIpvdtcyLFyOmPPW+XrF7Ge2XiD2uHj3OQ4QEqFcRjBA3XWfbML
|
||||
Hcv5vcbtr1z3tnnXl9D9tSy8efKDMTctJK+vWD0h0w3Th837mP+gELtWsI4lP+pyb09/00AzatsM
|
||||
J55e9XXhUgIAx93n4ny8PsEEpCd0dgz5v5cS/+YUymtM3JCa3BxywUQz3Y3OSoiAxQ6Xw3B+DrwV
|
||||
oAiFf9l0VikIxqj+KkWInN6u8szyN2g16UYD25qC49wbx97KnOAjF3dHy13V/+FCof4stN1wSxPw
|
||||
eEwiSJNrOenLi5K0NV4MHuQO7q1iCqslDM/EfoPha2rPJqQ3sPHhWN7/vsDW4GqBC/gW+rR4fRSC
|
||||
GGXy1x/I4bd/O0WBrcwXq+vdG4TWeEo+28fR01fRCMS0DBqCruAXmJOvxGJ27LTZqfZrdLrUIFa1
|
||||
tTWAsJXS7pizYFLT9B8uaFAmEe2BH0hAwnPWJz8pZIqa20aUeYX0SmMgI3VF78oiTYds72PLkbsy
|
||||
yCwUCCYRGhkQ8VbCs9PNXL4UHlAletGKheMU4WqyMWHVxYqhqFucoLM64WYBPqGhp2HRS7NmTqiC
|
||||
mhmNPjLRXLCbRZMBRq3Xk5Yb0+yR5mw038hWLy+inBsMbskOYiTaaNQKeMGD80XEr+WgQA/swDOP
|
||||
ea+WCqBe4Ztx/unTP2oePQqf0iQFU8HEIyU1sy/BtzzroeZsJeyseFzeSaC47G406y984H10ZUiO
|
||||
v1jeJj9ww704uEvewy/XMLKtP3xfLZFpJDhQXs2Wd8ZSpWLP7YC5xAO9nPj87wSlRYwu6Z2KuTzK
|
||||
BrpFc42qTedZjFgrxZizPAlSK7rbltiNMvSMOqs6AmaokfXC+TsA8yg7fhqcntWjorYB7ybj4N3Y
|
||||
pFfLIcB344pNXUNLUg0jtBqZeiwTbLMjpRT0IiBKYYHH4joFkLFWE/UIo+7wkBlCZA9ql0Y4OlmU
|
||||
GVe9yuxvSTiUsI5+QINp/IpwAz6PcKZ9EXdrHyCJf85xWhlsZgtXOagk6LYryNpIhhXlEsFX8PRv
|
||||
s/ULYb/gcf/vLlqkrZA48BDTw8ooiNXh+MjlIEH7Sntv6fyeLsA+REVdMKxv3e0hs2fM7/AmmIKg
|
||||
NDsugK21GIPGV9gzMtm12Pta508jcDUslSXUzJP5/PVOLrjEzH/45hcS1BPAYNwIFkk1N78ioiEi
|
||||
0Irsb4R8tIBW6/F/EI7Hd8VEWOVtzgqyCznIGfISpJAMaT7+z0LgxVKzfbybtZbtRdcAraqBKNWO
|
||||
jPNMQeUnO+6VFSZXIe9DeGDN+y4bobJnM5BiHMGoAhF/o5OOIGZ851yEAsaiJXPY/GR9kGvLzz2e
|
||||
GKsQWhbRgdatqKEZcD77A/I4bE6FwFeeyY9xc8Ji09M+Wv1aqi15TYDLVNaY4M+UHuaE/Lxgcn21
|
||||
uo2xNtNa7hvrVV97liQl3BXXMPNW9aPmiFNnYbqtXS9QY6pI3hYO/VHp9N1l9W8TaR4fFqdwVbpK
|
||||
7fDNfWK0i2B30ZZ5oBF/T0YsAsvwwWTMODkZv3F/g9SjcZ7RAlpVSY1kNP/Cfbybn/jyxA6NwaXV
|
||||
U99KEJB1Ju/c8yRZXAtj/4fFggsOCFnnrhEitZgrs9wDaaOPx7/y4tfzr1G7sFHvRCCMpbT01LSy
|
||||
vVQbFJ20ACK5HdfODkN2NN8/nELuYsHAK/2VMJiDgL7Nz115WaLH3YXneXDgp7w+JA+e5nDyvB+N
|
||||
dh1h/WLpD0t9W0S7wDhs8TxjZIU77tsJ2wsq7hCDRaRgXlHf0Tv3rNZ0Q3jQMxerVBkPM2S6kbQB
|
||||
H3oyb8bOFyO2lSDCYZMmzOhS7uBFA6rbm/KXqy4mj8PXk/QMjS8Yw5NxMlBTJTQWHlk0/z3yohFN
|
||||
bpbHUo8LJrgFld7DWkmx/GZuTHL58TjH4Jna+cZR01Z2bAP+56+7HTkiX9pCMJPsJaoEqeVSOEhC
|
||||
Z8JM4G6ry4nZHjD2f47fImnLfTBMWF4XoRysISOqxP3P8vtrw871pJlRxpJTUlV8axfqaun73SWq
|
||||
d20Wt2YJcx2Bpm1zMTtguyn1gNbpV7ZJrF78+EqLtLKM3hJf0tP/F3dcKm+JDa3ixvNLtD7GImMz
|
||||
IraZ7VOuScGBjFnrjEQHyo5IOqzm8Nyr54aYaD4RyZ9jvOkciKXLEh4kbjDtfGOq3qi6yAPuilK4
|
||||
khKb2GGFFoyQ7HmUHbBFTjT8j7+EvKnyhjtxxYjTEvASW5DVqzHWarLa6XLA0yGr9TVzqvA8q1pa
|
||||
zWB2mmcgCPpigSAunVCFtj3O9BKSRfG/8JdgwW2hGqlH+xLe9FtYotXcQMTDHjYqFIOLzhKAw1L1
|
||||
NQHnMyxM0o573qzkhAzWmp2C7pxNvpeIcxjmItbzRuBbmzoU1vS69wrsm3+xT+NdgJ+KP6Ig2Jxx
|
||||
TRzk1WC87BcqBU5txXJUPOdF3jaopqP5/kMJ7WtCWgw7wsnt2/+4ptevLD5pSo0vsVzFYc0gMUY4
|
||||
D5CSZ1RD5ZFEC+WzeTf+Kd4bjmGLoPftGV5fIqdrQShxlUqhkjjeTpAyUNvtHvk/y7JzIdmN4MZ9
|
||||
viwyxSTTOqf03lAUpzHYTqFBjHw6QCm2hXIihqUH+EnsDalDhYZ5fUxkkznjyMPLB6wzEe7tu6/A
|
||||
RLtoxVYT+1cNV442vQ5cxqnMk+RPuxrAap+gkFSfEEay3ruis73jDtcts5TgQIwtSedx0Dg6VgBG
|
||||
mXaR8rWF5R1zFUqzO1G01IlbaDG3PxAl7v/kpORGYp/xcWTaZ0rffagA23S0YAOusSeifROCjg0n
|
||||
dI4e57ceWrIH9YbgIYKB+knAjJTIUr2YcF/M49VDh/2dShrlQHaMUO+5rooP+WN+o1WLPrhnSNIb
|
||||
zKtUiIunRPrbb3eUAe0TOuFHTk+4r7zC69myzwqszEE8w6VPJ6UI4eLFYZsz17X0Aue7rNDWJMbU
|
||||
c6JideTPxiZ/dJwRP+H8RIDlCVK4pawrXSsFGBnvvRO+8vIh4/hcbAxxA4wXGNPGhVEL11sAeKR2
|
||||
/riHgraEkU5YwF4gXqOELZQgEbhmo/BkpXgIpVR/rnjAcr99m0ujL8Gcf/U+Y0myMvkMC/x844kQ
|
||||
emleSibm1xZdG2LDLJIe1AJcl0esor1QVzCuHB2BIybXiTNBRyCLWX2lJuLRQj2FAL0RHlFeziL4
|
||||
pJ8KzuvoKIteaPeJOZtuzxXDGdegx05qCLHXVcH2edTB7JvQA3fFxt8cfdawguKvDF0U5T2+BwgA
|
||||
SqcphYpcjjilcdnyuXOcgAFzoKf22+ePtigGVkp27L/cR/WbCz70hpEN2sJ0wZPtnPGGV0rLGNcz
|
||||
fZ+EMdnd51bo1g5Ixi7l7+CsFeuSBgn+rmnvSCuECCKOT6L059iAYjhTbogZNGszKuzTmfhUSkWO
|
||||
sKrxdRgeFrP8+iN+yrfszRHsI6ATYV1fBdrS6yumEQFkb5eNHgjyLG7ypBrWzuYHVMOAOoEWKici
|
||||
0wgntIAKLW/wvmG+6pFTst01mGV4mCBuHbvapeSIRka9v0rkZa9Ap33OmRb1ECjm9upAjvU7upUS
|
||||
ig2gk2ZUXA/19j4bnjwhD4WxiUeSZrhRLFLtAl3W46PbLI8Bjpne/VukWTTK2ZkUKkvwVZeCJret
|
||||
4h+2LuSPyMNG+owN6uZxKR8HutwwV9FHm2MBb++ut4oNy9VBUfzzYQRv2p1N4JGHcZshpjgOaGkn
|
||||
GrtefbMw8RcHdHwPlZF1yos570YlynBzbZQlE7eN5e8hCPZ+tUVHB3NlpjltSHmKydgL9C5VQ1Ti
|
||||
PFEmf/4hvGxAkREnEm1fAc38YrlXYDsW4Z0kl12NukFtjsKrYmQQ8mvnylj6TouippFN8CiR6tqH
|
||||
xszO1a+aitVCKb6ImBC5TDmk3o4Ae1WgTa4lKsr8G0ZekujTWPRb9Kp9MTSdS3K2S/RPEWv4+Jiu
|
||||
3g+mfI2CMaafnHmlbA57uEgwynlJ9KGlmW3/S2GHYHb37ViVa+wkPjxBt4b3Yejs0cW5odPXn1D0
|
||||
Mysdul4mihrSqfYZmVaElk5yjsql5WHZ908NMlWH2Ehwod0aqUCQBRH3fboocXnsXivEozUOGp0x
|
||||
yHNG5sMf6bLYTkhIUXHS9IzrNr59ZVuAE8TuedIX/pmoRljqwiNJSCaZiptf3mTUqTM7rm8HTCwl
|
||||
u8ceoStD1lpZazEsolCs6Rsw8aDup8wzKgR19jkhD8E9ExXYFazvUrcqfJnxJknn6KAOE9ESb5bT
|
||||
e24FgIsW4FBRCZ7TWOOivgPGlECITJYeSwcMOwhL4iAZlPEawfN6WNtdFYBl0MuWuQdBpGssAPgC
|
||||
lxn82YWdXZbSnLpqRF4Lm2oW2+WNuMIKf/P01GTQ/boIM6hhCcoavcSIvMmSC3aOqMgvyzDbWx/3
|
||||
R1W6KdJfoFVjHMBSy89rSozVNfPWj8OhPyYSSp0ZYI6OF+iQRpqu6x/uuA0ZSPLCKJ1W8LKq77kP
|
||||
oEH/pAcApKh3fsLp71tkND9Q5DH3OPaad14wxtLcMAYil/EFJ2iraRUIKM3+lRxEHbcMSXJBxl2x
|
||||
5VBtaMAG9NcwwoLP4khHTYiNDeU2im9JAjakaxuBlM2fiko38n9xmKg/OSXffKkJhqdgFMg+dsLz
|
||||
7YGn0tSWAssIc6qlGKJyi3gOFz4XAE6LxEL0EyEQA5gc1qKRBwCeF+oFeJcj2NHEilM6nX+5N3+k
|
||||
vIgCL3VAKSOaGDeDIKtnlWVLqR3ulHwv0y3ZtSrGtx4DYVIclOEJdkSyzOS7DCjK64P2CSvOj4z4
|
||||
eP+EKCu/Z+qgfBNSFdKBSjeSAvsRzNuleSvbbxdlnWWGx9KFk4XjlU/er8GXPclZOXcCbORDcxtn
|
||||
v8shuy85P8Vy6eh2eYZhhfKi7UXASl3InKWPKV2hSDr/4fy6ysjeqEzsPFtWMCJ661ioPFyqL1/H
|
||||
8U6DH9axVLle7GYLEko8/9w5fKPXpagglMyi0jO9po7KC9TNoPX+rz0Ts5JVj5mbi+sYhg3QqFBL
|
||||
n5qixSmEiUibAvecbwv+GsPJ68Sno5vGdQ9WG7dFNkCP4PuPURDBfFGTuprtfZnYrw2F0Or4xp7G
|
||||
5F0UmjSDhvXG8iy9ij9eOBr+wtJ5klGVrd7R/GV35nC0DWwIZ0qpebb6MO1AzJhsPk8BBFNAMfI1
|
||||
rS0vd+29a0hPiigpqaBkdFpDR6cYtZ1f4tNSi/gmdzGbADrlo5u3XR8syc7YBA7lzAMJCrIDrqk2
|
||||
u5rnfiv9S5vWA/1WF5BiilsXRHca8HE7uUb5VpRSK/48qYRxwU1F/pTN+0kvqFDTlcAPhtegmqYd
|
||||
YJ4kfYrHkUFwQ1ctZKqyuAQdjPSS7FGcp1638RY/twb83DQQrjzxLkumrgnotB+E4Uoxz+11DAJz
|
||||
CwrSDUO2/+KiFyQsCzv4qYdHB15XprsUm7bgOcmtnP+XvoOT4KS/K0ddNdfFR7qix4Bz8qWwqja+
|
||||
gbAJ2WUwGxSBexzW3HychTI1KaW9dowBAfT3bN6bSvIUetZqQ54mUaNCLk2a9NkqSkD8oXRx10aW
|
||||
FrfbD9f68VMvnIKHd/6N/b1ENHweXLqaVYvgUDlwI1Zup726wzhlF36pOdXEyFLpRRTurds+zsK/
|
||||
6kW6GdKBTrMfyWQ/D5om8PnxvG3TqKCMJV+RDsHMIpshmL6aP6Imm6Qjw/AY/CCGIPLebleagSup
|
||||
XcWhtHxk3qBl5yAd+d0Qn0tm1v25JA8vWRObrwb3oyoIt7iApyWhJIl3v4jdWkj1HzLjRnuT3sqX
|
||||
53b5cGVsgdEvBmqiC5rR0Fep7lTsveJxdqijy6lY6qmGpyOpN1e0csAwc+ErR9VBBJf+msflt4xA
|
||||
m8apHG7KC0oaN41aYlZunvjnUG/YTNWxY183SiBr9Cm6x2Z9O1qbyJQIRFgnVYDDEk0gwK8dOd/l
|
||||
GdCeZuQYFWWAvpdBa9CRDWbLVocArX7HB+14zQ8t0Xcq0hwRXVK0J8iQOa3N3nmEJT7CxtOJWYRF
|
||||
dH4n9Aj352d2HZEv4yL9IvUFeesL6UUNoDJVYurD22o4w0M9dQJr1J2rqQm7V79Ni0yYqWjXjO46
|
||||
rMgTDO7xN/j3CaGI3aCleBqfE84TuXz5foIh/2qN2uTxzlMSLZmODhVUvIny5OHmFnzyh7XQaO+X
|
||||
ZYOIabxro4sDWgJe6+SRi6YiMKffkHQkG1esdqseRmvGQH47lYET6TFPAhoXYjeS0oJbFa69QJT/
|
||||
mZShcfT2s4Q9NxmUaskR5vW/36FXLPsvDIWc6hSGm7189PLk0zlKSrfWCkF1cdsjLUQYlTLPDKQO
|
||||
ko4B6oGitUmqel5kA1dHG2lVs9ikdfxvLpxVhHCuQ/S2ACSylN2Tv5ZGVV5qXMxO6PiN1WJGax0r
|
||||
qPaJuqpghHbq9YoxeanhBfTb/ZeswjoEiL1qUZuSeeC3oFSosiw0c6fJQmkUo81kRGuaultx/jtW
|
||||
jZ3p8bar4Ioerc2sEIHUgQ/AicM82gJw9HXwyAqdTnotdWK2Hjq3v1jVczQk9PRcQxniBiExScvP
|
||||
C6Za6nTF5fI6y2Ih+wL78DUdatwl6RjuK5atrwcGVLw/MRZFmA2+YKTuvj/Z67z3ji8a4DEGQ8X0
|
||||
1+mAuhTW6jDN/0cKvgETYsumtgqr9lv/QG/CAEZjVDoTExu77FOiVgey4rkxrBZ1K5oMxOXPuw0w
|
||||
L/eft3TgmT5TEzJjXaPbcTbS+OH5HwvCBC4rY5DELycGOaPDapqqpiGEtBZhn0IToF3zYFrQ2H0J
|
||||
etVDwDl+BEjynzst/CvaF2+bPdgesRnCwwefpCbKozOUV0ZUeMxURPU+huBEozjlhGPVkp1NnY1l
|
||||
3r5hzRXP5YmB8a3ahakgC1oPwDzpqMvn6T6XiIXG1M/yuq6kg8a4KeBQBCZWVfEeH0A52gwfjN0L
|
||||
o0lHW9DQVjhi/hbM705oU+Qcf2omZwDfRVIWx8JKGLD/ZUV55da4k8jU8hLQ0ECG6HISXypTQeH2
|
||||
jLkGKkdybxz7GIVla9wbG00gZ5tOJIdt7Fg/qYCf/XNIkzCbL6VoXL8NUPv0qeBUq/19w13p19nn
|
||||
/PZ9ie4777njVXK5D2Np2xHxUVkbB23nem3MHjRGA4jYMZkXQaYGAa9B+9ujWCx1fUkw8rw/VwfD
|
||||
ew1lfhGccfeIfspNONrchEEgfOfuYD1mZxAsD3zRIkl7ufyQNfRggWR2BD6g8WkmYooJM5GbQNaV
|
||||
u8kk6yMrvHH8yQSwb+uc/uqKzuWWtZKDyuN22EDhcIGeu56Apl0m1jiW+ON5BvQ/UHri9F0s9r1P
|
||||
cAgMV93CibJX7BgVj/PI4AihqBzghoBIs2umlMYx/xbVPVhQtJ4jZibOISw/9bK3wPXuBO0+xP3Z
|
||||
jaLpeu7V5o+1TRTfz4HHz+o4OJ9Y0U3Ye4omc4M6KWaGNYsHpsJXvv6GzEJtbRjk3wJU0kjLtlld
|
||||
rFRSmI0uyrWP9MFUR/WqqZFVM+Ad4Ha7pGIo4ktk1RHnUVe/EzzDhQ3mq/HtBziOjv+gKpv2tal+
|
||||
PR2K8qiYOIvalCA5sq5ukqA1yEqwvNL01i1K/jOJywG8cSo2S32TZf/xGfPIDwkgACGjdx7lMAio
|
||||
QF0YRgxpSXLTL2rd3Ka+jIwnhQMC+EPS7D0Kp+/JMf85WwmDJTCOYT44rvr/e7aST8FSRE/glpde
|
||||
mdnfvRmLkXYZQKFOnpJd+PxWsCpggFi4wPY0Drs91OJUvqDZNwFd8DWvjcoxnq6T5+QIo7GVx/Lg
|
||||
lACD1tyvoO0UevVhhPqhI2qvqToSMa/zDilMfD2tSwHd7Kda8SkNCA49wxs4ffJxIDhBdXRHizV/
|
||||
eO27kX3+22t8X27tANaUoQnIUkxmzVBgJRGOZUdV5nWswlx3exuZIqb2L3YFpPj7SN5frRdWjF41
|
||||
feOlMXLvFJdrnb3Yhc7l9cvfove9cwknwGd3jn3AgaU1AUTGp3yaCVT5E8IH2BiGWF8Z61EXdvRM
|
||||
Csrb+fdGritCJl4hZtLO7Fw6hvtFeq477ZCHwVxwPhOl7uVwoPlm3gu7cbYrMhJhG48JEUHVVWYI
|
||||
unyWVqlCB7u1Q+AJZuSGr60apmQXwEqcYlyHITtonuVhaDiVz46kIh3ojGRg1Sa6E0OOegy60ia2
|
||||
s0vXrwBi1GT5roythI8ky+sJiPxJ74oqSOO0N/vwdLoPQF8ffS3V7Hf7FPoooQuu+0QZOoVra+OO
|
||||
xENau/5UQS4SsEZBvzfVv7AVPQDwA0rDuN/ejKVm7seyB8mFvt6LjkE7lcMMS7vypF/6Q54DR7L1
|
||||
oIxz/OutmcUcMAMEDXMEtzGCPSeDtAuES/DqPBi+INiZ6I9MCp4GFisBHEFace4mPHzVYSQYteon
|
||||
dGs4qJ3bC6Zb4C72g6v5nuffBZcmUrwORffHcc4hVoYd5DTE47tWzm/ESlcDlkpiRv/f3ve9Vso7
|
||||
DD+m8t57Fo1OmP+cHsAclw4MmepMMyUekj3ChkP/DUjfN2Z/kWzuHhhbAcgZOOPqmqC9WwVNlqnM
|
||||
JGzGSiyCIY3AMFmRFwj6jqNAGlcpUJ4ioJJDh3d69DJ27uAXwYmtL9oZed8nJ7mmQ22F9B2LFJr/
|
||||
sudwucC0CTTqckxCgfpz4Z1oCFlTnKgjvPfsDKGP7YeHpVYQjQoewhHkzu2tPj5u7B1AiSLURh1V
|
||||
0+3GHKiGGF1PMeCOBxdTiHI0VHa40nibBp+rjh7OHcsixlAHxHKJJ1rwAERbepmfCZyhmsJV5P91
|
||||
57B0b3OgzEgYr7eiOL5EEY+xbjiBpel2qDAmRijKaiVYtIcKXGIOcBxK94lm/qAnA3k2TdZp6ObZ
|
||||
KM3DkUzSZSejdXNxJNtZbhEVj/bv0+0QdmOyjpWe8hvD3FBYUeMEjjQeVFoT1Mn8EifK6cQaEVGz
|
||||
5Da+VhpmWLTNvh7hkDd/TkCPxwOTo++PKYTl4IR5gg01Xgu9NKDNF3TNOFqPSvnUj6pGV6MX8dzx
|
||||
9jp+fhxdnN45c4t2DrMZUQKxgxKQZER9u9Sg8044h1WUlwAPCnBcVPbsDlZl2pyZgGmKbiNsdgHV
|
||||
2a5DUAUaaj8AveUDsa3izdrM+mn88UwHoQaLN77HdiTE8b4q5Q2HhGlDl0cq0GRuvTdbWnzYDbcu
|
||||
ES2JlAg4oqSoqvWv46lBtvrXIti/nhsGY8hGHD8CaSQ7a0+HnXD8hnqnwe9YaslMkRJPt+4iBBSQ
|
||||
kF636moyqeyjCHY8Bd0vRbpsrcNlbCBjiCPElxmQV+jtVhzL9zfDuEwl9thI7R04+DqlHEgyhpHV
|
||||
JRfhQk1hNQm4xSCGxj66c8UgSisOBa4bydPTc2S9P31bAjQF6pD2Fjw41vXps6r0YkP1lk8czHOl
|
||||
mMkArN22oqK9CoUMBqfRlHCNCBvuys8Fcd14yWUfV2xuDWFyH36pcQl1uZagJ1xNeE9QaYweROdM
|
||||
EgC7UuN8HpwnQEokWobNXOP3rjLI8rdJbrLGvL+NFU/ezfN71j4Zptv1TEMKNxny8LkOXvgDpPvD
|
||||
vwPLJcfmX9d5JSYuKaguc+N3zrKA7+ZKt3XhRj1aM3rC3r9wvbhvc+TMx1oGjTFSEHSfYqMtiVf8
|
||||
Z/LXoiG63dOKagoCtvGGw8HyqI2TijNPNvto5aKpSBZ/OBZ2yWNKbceot9z01tFCIxTUhsouLjof
|
||||
DlHuyyyPbDvYzIgm2deZQd/KW9g7VdnYWchYCdIG8K1knA4lyCk34SYcxS/VBN1VxhJzcjCO3DjE
|
||||
6PjUcbAO4sZRVJ0r+MbMXmRwxBpOl/ocANUYOlYMxJy96695XQbUi3eNja2oZJnE2L08Q5QgARsx
|
||||
ASEAxDWp+0rR/9S4jAV80X/F+wS1z669UWg26+gHw1DrUtw1Vv64/ihoxiQ3Zu0ma05xp8OL/2vf
|
||||
FqwsDZ7/95SYa2x35sKdulL9XOYRCsFdGncSPUxen3TXpC9VrmKyKJNRQsfD3nXIYVnnH8kQHwXP
|
||||
n3fZwnDvnfzr1AnEhlC9GRFQ+EK5Q192sLiBkuV8r/rX0HM/927OH3eNcds6SrpCQzOZsHk9obyq
|
||||
6FqVvZN3fxCoIwmGUB+fx27bHpSosxUWNctqBKKir6T43drMamgVJcMNUszEcUP6BpcQl2WrU6DM
|
||||
5yExp0CVITcicIsFZyetgVD2J/53zMYGC8SG9TO1A2YobxJ9w3aB/U8a6pIUDRHu57P2VGmHPfm4
|
||||
n1+E79cuQl68sMni/JD3T2hNrW0FbIdcUpxIghJMBzYHUDQGNet/nJ1qhLXYP/GYuSHYFv5vSdEn
|
||||
sGNDPmqOmFegaaGdvHONX+PU9llPeZ2CkxA78vioguI1RcFz+iz0PePPY2A3G4Rs6f1xPnOmL+sV
|
||||
va1u0msbcylfoD0cjH/wjMXpRA5DGU0moc89kOZraSTGRtabSR1zHxkl6z0du0KOOP4AMKPH9zPN
|
||||
MPFHkQO4R0+dIqRfkixNrfOoUhnUqG2fGXJWFoaXSe9OUsvsOqiAA8Fz/4yE9iNHrB0IYAqbHyA9
|
||||
RgpVlHKmQ7t5A3YmxAoRPDyNoAiZmowF0dxtLndiOVSM7+8tp1HhQe/fKPsWYPC/KrnPiHj48l2E
|
||||
2Bsw7OI2n7vt+/374SyArP0WJVuUjMMTV99Wix5OEO/lJXUv9vE5Svq6JLxehVokzUNUplMg/fsU
|
||||
B7uMHuESQQmeQ7aNZjywrRnzl5D5TJKbX44wtJJR24CSwg9IJETvbuqs6dmaAVuED8Wa7qkkf3jC
|
||||
QO8gFxiws+YjEF+XUhx9IW7fSXJmG9lQMW0LIFDXdJtRaHn2A9Ap+jAkhmlHt/vkMJTwCD9X4FKD
|
||||
pf2KGSOKf5wmBMSnGv2i7FptRMUbET4wBkbF4EkFDTnjb/Go12zOo2qNNJAK5XkPcKEow3WSWP+G
|
||||
ANZpkNJD5pcgmgjZAjOc210kOgeucbWpAfuWqimMUt35Vnnxu8ZVEaGS2fnycjvyZI2ImjBNaXdA
|
||||
OFVeDwWKvMg8NIhrGyzEVIsqWNk5zSMesZKRqDyq4Ci3zSs0reXnCHJjYUL1mEyU/OP8J87oen0v
|
||||
4cDrTi31QGHbAhKng9d0dvLw9nklm31PECp3LZ5fyEzitvVvroQ0V2GBtau6VaWGtTDECuEScT9x
|
||||
gwiYHPzjm7M/11zVrF7gc0FGUhZ1FLGlKREMdLMJ11lKl4CDCRunZollQLJnQMtFsH5e5xuYy7Mi
|
||||
9YoWBGWjQCkvDGzSnJuV3DNJE7P3/K5NUZVMLjHlVBzKt4rldOX68lXz84WPWU2StxhavJFgfaS7
|
||||
LaQ64Cn9GD/Hs5fWa+x43vLquObVzjWfU43ljwADNKI27fP4+CW4GA4CMW4kHjysW6wJWnWBsgpj
|
||||
/G/8jvdbpu3eQLtQsU9Dju8gk2Fj+fJ9AKiwLC3i1Rtkdm+A8pqD9UxTEXuzweIq2YgEgq0S9pxv
|
||||
h3SbALb5n0lpF7GFGTyOZ0xlbdy0fIVIlnYTzEQtPSiRZGclcX24SshKbxpJS9RS/x42EsPjhsy+
|
||||
P9hw0cdivc0MbT6U8y12u0NtSm64AfK66l89hHUBWuQSx7nbjWFomA3AclE01TCUBhPBw0UvxEDR
|
||||
Wsfgt50SqBkZoBFlRHBMqSZdsmqrO6WGNvE8fN3JHBz6Z1OUt5lrttpQahbq7SjP5ggRlc1lBwFc
|
||||
MnFrUQAnh0fPmxeOsiQvn/JDiF2eweMK29/Rg5wSUEqcLLqF6It1kp4T4LwSyCQ3MaTj8UroNONV
|
||||
vOkhezmFCcM6HGKYY4V+s1Lq2h0qmXEhiE/5nR4kTUZSd/7xb5dGdAcvLgfHNby0x3p+YnAKnAN6
|
||||
UKarQ5XiPNo3u5hL/KjKa+X/12yQdb/YQR7zCgORR5UO5ZqaKNIzM6QZeCDGcO5RxQbU9fo3Ufah
|
||||
CSfqed8XaQhKGgoO7HAaDOCobcqxdty6kx9GXW0hhzcjCdU4lCsLKON2ydCXztSuEipIictDGLCd
|
||||
smq75Jr5Ec2HpfeQBjc2hS0R9SQUZr+Mgwgd2F4ZT5iS8BOFsRvVK1eaE2pQn1vV5DU5dqN+iSSx
|
||||
KdQDPi3FLUdMkjV2xa2DZcaC2SBqoCbvPZVzMdlsmelgCZswUz5XMuwLkdC+FZ44YBeLRPCYPS0n
|
||||
CTOt7X4jOQ4IvLbNYdHXBZiiy13Vh9+tl8mxcC2fY6Dp8RpFU3ea7TwWCWKe3SjXC+uQnSHQJYBr
|
||||
u3biX4eeTmWiwCO8bd7Ti3ysflyJAkEHQMqaCFYMpRYdUoq/iiZ1x6Xiu6X12VPTX+edo1wG6WBl
|
||||
pVrJuuFuMTLsdJ3YjPrFCKPh5Ijd/SBDOzwlbkJDBwyFIfPSgUrmbiyFu9U8nffRK78PueFpHAiw
|
||||
IjOjyb2lOBduMDbun6SIdrv4VbMbRUzdOnrjnBRn484Dn+5iQDX3mzvSTq1Cot6vLldtmc3hvvGJ
|
||||
0j2FdusehhPV7y1yI0mVBMAFvw9mb8VhwtuC2ELw8VHljgRCObX4FkFhZY7YimKfiEMEPQOJvjtc
|
||||
7JfLq1OsVjw2bCp3uZhEo5BT6LsW6ENRHDSSov0dxwQXHheMMcSkvCU1Kq46SkEl/HTZSnKMY51R
|
||||
VIwqjgBA2XW0FT3LZqI1UTOkbVqtSteiCeGmMt4Lq5y+ohnf+kHw13b+rQiSk0QJl4KTYL4B3JgS
|
||||
zbaiTLJyUVzTnkdSpdD34GabYiTIMx6B++kbonkvmjvphEsJQ229xZBTxGmuBW3gjSdrM1vIi509
|
||||
rcgUVFjOGckkn18iRxucA2rhFcd24oewDnp5035+3uo9AFosEAWXWmwJQxDSmumbsTzakmYPNNdZ
|
||||
EiJRfay7nF0vsJUpvpvF8ESt0yFE2aQNPBRZk14UlHF7QQHfFZQzKYt5Gzpz21z4oQI9mISBbfcB
|
||||
QPj8Kg4gtIDMMLmof0fhS3ev0a019eopT8XnTYIU1OSke/esr9Y5w5oRL5qmQVL5rdER8esnyAlC
|
||||
yRk4UfQW7fdl//q9EQ8NM/ID21WE15dqdcnB/dQPpLH9rofGAAU9IFPOqP1RVqkpb9JaKCpTrokb
|
||||
9yxVCn40wt7JLBH1zNFNcadDuwmghGe2BlMNqcTW3D5Z6YvQMNoRoj1AEBEVqRnVvwm6VsxC75QD
|
||||
9u7HfbJBkdjv3FlwnxRqcgtCe2NXjqZI/ik1s9s+BlcDVZbhfiXszKHl99rzNi4MG38zXb5muhGs
|
||||
ukoU5AkyLALkJFrL+I6Ufu6BQPTwDCHILL5zHyzr4N0ncHhrxRxA8s3kTSpbMEKXndiQh2pvq1LJ
|
||||
VPMIPnOCWK4OBu00zqnXsUp+WvRgO0h0yJXNTRIczeH/TV0Hd4FrG6BjPRBktkOVOyjhqu+usvfG
|
||||
S7ZDoJaoRpmzmeuQjWHbt7GMLDTvBjCtR3T6hqMx0dKIt+QA05Dlrv8YFkuUoOIFodpC8bnInRJz
|
||||
2Yqbg7X+CZfRvTGaWdoYt3FouUojLbZeaonVhWPn8pQ3vTM8uTX2mkr0JqytnzFgCAWG8WXN16m+
|
||||
Jsm0CDSDiMvQMJPDKFpIe3Qg0Apog8psPmlfMpCtVm0hOVTRi/Ak20BW005Q4YBe68Hba+gCZKZ/
|
||||
2olRMrnNdinE7xUyut8uex704bAkTP+sIDfgpnP6TqfHrpF/kti+x7RLBiTbvZgR/LuZOoKTjXHa
|
||||
Dx4dBaoFTU2ODcXZd4pmHkn0DaEbYvxlDXNcO5Uv5HWwmpC3B+TQ5o1oyeRJXo11H3s3pCDCLm5i
|
||||
R0QZ64kW2KNEckxoFCjfOb7Y3EAa5Lu0SI3HQeAUmCg8ZRL/oGgFxAmUyExhiccZoZJTMQA66zL8
|
||||
TAEt+02BKV506fMhr5Xqng0RKwVyS2ZUIjcV2V+YWwEmxahm/lvpOsWz7lCtVz4dz32iJV5COi46
|
||||
sihEhv4ZT+K03LAifE+pXVcNGGtjCDZDIpwhqoza8YO2bVMbQJ3NKarOmwlst3M+u8CJ+JKNXWxS
|
||||
7qDgF0mE3McejbMf/dbLlQdaJyZZ/MO2uc7f82MTzyruQ91Oi2vHCRiNYhPITJDj213KQZ0LEkzm
|
||||
Lmf3IuWn2+guiUWXTgl9cccIgxSnt1JF+noN6/E0HH8LnbrMzeHtRJ7V48SrzoGr68Fg7Or7LqIF
|
||||
NoKbScumH0tmCoyBPFabD/FDuk0VBdUobZWEFZhk9cyO4Uiy1M+wFQaZg7+GhgoZLax2J/jXU+Tb
|
||||
pCisx4aJkVO2egZDkhBCNBxBuYspGW0kG37+uHuFl1zI3OrQQtEhBqLTXJOxPCyACqz7ZzlLWOz9
|
||||
5Xj+RSn5683hpWwEMQjWMW0aakWnK8OkFdKppmnd9fVygw0bkCtWE/EnOwNhVUJb59/0iNeiipo1
|
||||
J7Y0uixxgemdRp/m3VE/3e5HHUqJRhvuYlJm/aB4l3rcfm0rK4YoozT0juchNGG4vlfOFjSKE3NI
|
||||
QAnqdljTMkHMrZV4lJMZqaaB5v+F9wMe4g7cokskQnSd5cTyYWxZPAiR4ExuXGtAid3zCXkJFq3e
|
||||
WMR0Jk1Zpsg8gjHl9cXRXxvp8P7Hiptv6rQ+JWsDsU+/GyTk3ooFzoYnjkgiL9pS3Tu9boaYIiYn
|
||||
uz1UOfmPblCW3M4CYukbnT/SJxeBZg7A5W46Q5DOe/u80PrrNgqBHhZjtLBNMI3a7eqOx7Hbl6Dh
|
||||
HPtipJncAu4MqNu7OuBbLU2C5JgHBQP5TN6PUDNk/RJfqdwC8M+Btu0tpE4lR+rJR7RDaR1Fjbaf
|
||||
v4XZ19bt3HmZmtUjaZrCTygWFXURUOR2yMnfIG8cDM84HRV/IaVY8/pIKhikk0Lviz223m3wAMvs
|
||||
Hpj/mZWnwMDS9e9IcolhfC+c5IO5Sn2OFX9s+ySV9gzezzzzJxJubiHQHF8bM4qRnMIak4EYkVUI
|
||||
sZfrWu1rxltmS6jyON23IhrZHaphXFKkYt0mhy12+PVdc0JGOUwMtyeTYYWaJ19DI5dml0U08c0r
|
||||
vHeF4NrUP6Rn6Wlm2/mchMtNRDPE0zZaNeT8ZWVX04ZW4U+IeTJTLyEw+jNHuKp9OyIEMY3rqAJ5
|
||||
ykuUKIhUWeKWyLpVc/KlrWx623M845YDCGWt2GW9Wtv4tSIVDwfiK31/qCFmSeGDEZ+Ji9ueO52O
|
||||
f4oaqQBb1Ghp8pXF1DF7cAo0rm8lILKsqWwJ5GelDENzIBoSy/5ggzoLMTedRi+tzUnXELbkHVX/
|
||||
0pFJ4y6Sg2LcW+EZL4xIPvBKBVLWW+Riqdrv7h9BIPQE44O9Vglzb4L9URyWHo8P2C/y19GIvH2z
|
||||
BmWOJI4gJ6uolz02Us/q2ZPdG8yf7vMbEuWNhKhaHWr1XCzIbKepKchw8I2H8D4dFuH/f9QTNANp
|
||||
VWSg4vIg5shTJDeY9QMgyv9xVi6WO6rI0P38TED35INVqq8kMv8se4Wtx2+dpBJrKufIpz8cvOSb
|
||||
L6qW4f21SuH0lXMZ6TMXqzUXkWlEH2F4lPWyGorfXBxhBowpIVizMx/fwwRYN5cou7IcEpvIW0gc
|
||||
8wwj1ED0pORnSq/8EXpaDxsYbntr6aSq8xYsK6YGhRqwS2/pdcDWiQiuIytt0omUWcbvFIUEi5lX
|
||||
dHbyClwkcTPuLyeKHFYsfIDzxOGAn7yu39ZhaIMqdlooAslZpEdz+KNmr+c73Jheif3S5sX6ErnO
|
||||
hAj3Lq1KJpvWLsWfY1e7Fts3LnmRa/UJaOkvKngtWBEjsYaMZ3zWRcG4+I0ZRK+17ZeovcGW6HvM
|
||||
O2QOdI5/dCWGji+ZlmAMEZFNcihdznVpQ4t+G3hYS/oHXBItj8u/JKqkX4Irlf6ynXy1hWQ8P4pg
|
||||
YFNKOvtrdgtSyFWvTXpQrPYiMmMvepFSvsLs+DBZ7OM18PNyHX/5MKhn/TgaYs+ezXsMNpe5xRrM
|
||||
1Zc5WQfrn6Ypz3va1ZyglZFgoOQs4P416hzM6/+YpI+cgFo6uy20z19fYZVUTx93D8BEOlC60z4o
|
||||
TMKPhsXsmggbV5jlW3f/6QZ9HR3ILA5EPmb6GcZZAAbujugX8BX0nU0LkDLbhbNSQHX5e0o8IOeM
|
||||
dVlIX1rIRG8D66grl5cVCfUnrkYd3QKtzr9en81CR0JteQFasSt751b/o3uFbucG0pmWVO0dsoyn
|
||||
pURYevU51e20t89PUjD6Nda86BLqkXaKsWn3WT25LliBn2nzkRtqwwm9JfD7tMjdEEElJL33IyIb
|
||||
9N1CVq7Sf4fy2npWkWasO0XoJRn/UL17IamP9Xq/htfPwGz4eRzDmRjXm2WMfvzYiMA/1KEzJIjY
|
||||
+IALyOzOIcsrhqWdYw2eChcKCq3zzL2PxoiKr1+RA2IAbjLVfw4Lk4x5lHJMrl79BPUSSbcKl+tg
|
||||
vJsxaiuoO5R9GBbBpxhzs2wX0x3/OtxgXsUhateCurq/CMi7/QQAsSmXIWQIJvNnKQaQfZkLnutn
|
||||
FngpkCwayJ5mYJ+Gkl1j307PfyWgGb3xBBcywz4eODJu26e+FzKee5JIBxCywprOluvWaEEnYiiM
|
||||
o1YPJ29HaJIvfqzSaEV6maukEDSAQGieaf0KQWPuuMd23MiKTx+272Fd6y5rNxi4UOgKg7OOuNjg
|
||||
ucegyvnBXFenRT2Y3OugXPCMcVwW/21suP37W3dJ62tOoVprdyK0wldkhJXqDxeSayJkIei/cc0D
|
||||
GiB0GAJRw7qswpOP+vLiWOTBu9s6K4yJphr9X1UOwvTGp0rBBkxlKRn0xn7IT7XH3i1TYNpFZFZE
|
||||
8OEbP0qTow4FN+8GmmXG0x+/2WmCBW6Oq6rayvkJDCPiwJoX7w2Szk3m6w/KLKRP7W/HdCcQmBrt
|
||||
3D978rWEFwoUJQKha0dAizWhE+XP2+DikX8siz7ZFFZ6hSFqT6JxcGTbMD0z0pZEwpwlP52spyt6
|
||||
X7UtP/saBT4UF8uiMY+2GxCv5P7JlSayP+orzboiTd+Xk8CjIsNaZYl+Y5ZLsHkXjszbCDCFQUui
|
||||
wLHKQdEC9g6RSbfCTY6+qEM1xpqtn6kr8QSmmUBrD4Q9C4u4uvdmilEB2NuXfiHfJGmAQJFroV2a
|
||||
J72IOJ+9FNVs779y8hmp7Sx/IfTLz+d02D1S8rZCYPE+3aH5UJGvqlb4P7MSkEFpVKhbCp1w1eNc
|
||||
/mUyiAilmvlLOcCAe0D6RzN75edbnogSkfXBLbafNSybKtVxuD9c1JsXVIhdzPlDQTa7adHz2f2G
|
||||
3Am8sHQwBPk1YaKo8k6/R94M2iQgOaUChZwCT8VGHBkH6IujRx9LZyO2+sEqar59IxlvTaNB1U3v
|
||||
K7Qug4L8pWg/hE+rhqRfcVAM0fFT1w776y+AZTfFM/fXRKtFSQ7KUESblj04yXMYYfveMObdRAO5
|
||||
O8dBUyzuIhvviXIEd9F19EKAW4cmXDMew88omWNzV0sgsdcu65Gthw6H2NouXTw3+eRRbu4m9N+B
|
||||
qW/aTEaMmL6a8U9uzQzsjbjybyd353E9K4P023zHLOrWt4BMRbUxWR3JTfhgArS5L7qdp+J2u3mT
|
||||
SR52x7lWsXGhl8qMhbkqRsBekrZFXufH8s0oAIGRpGLM00bADe80e/syo3yRrPJRqb8uh1TMF9mF
|
||||
8AGN4KXfkPBsqqf4NDx2eJSV7/rJdXQ1lqx9G3DeqzdjqlXincYZH5cm+Ni+jm+3IknNEF/SahJH
|
||||
pToslhHNL+Hsg9u5IXqNcY7KfwJJNgYN/2+/ZJY9kjZch1tNUVJlGXMmY5tH15o9oDf0QfQFO3ql
|
||||
kjuDGSlBGEhbm7liTqWOY4dXQib/O4X0A+1g0U0cTKRpPE5aqeEkO1lCkG7rpVGpMs58GwA52xW4
|
||||
OXN5sE4d2kOx8els5NBR0pCfqpdQqJrGUtTGOKTqwUgKMyUYE0s8ed/vVbWR61ze9eGrVw64dXYL
|
||||
rSHynVp6Tf0TOEaiMzNyV0UBI5b91ygMlXWbQQ1XJIqiABYovaD3nTVn3COczoMBB+wKYy2G7XE3
|
||||
HClVPQ3pFwCwwX1Cv+Ey63MrU28HkX4Uz73n0CdrCOeT1FMV5gh1gNotH2swK7WdVBnEMEtX6652
|
||||
JcJYUp7grELXFMXEzqHyUDSHAYpppaJfhdN+7o5GmD6q0W7bP3Xj4rebH+T/5u/6HRuQw06cvHlo
|
||||
AElGKoSu+NRGC52I+5bwr8ELlSepbomNARZg1ILYPt/FC904AuwOsOe7RlVW2u3fseGR02bA1c78
|
||||
z9qQ0IymInViis+f32V2miArfx1wtRwy5rP756LijUMe5rXY13Ajac6ytJIuKeH+S8iXJ/uV4XXS
|
||||
wrFRBrGOjINjMh/Y7z/NN08j9nFOo4Oc+qFipwG+RxjnZ9WMkjrg2BugPCCHUHpKF06kUdnaURgA
|
||||
7RywTozPogZa9Hyd6zbD2xOe2Jrps8cQaQSy3UVKIvUQnAlmRE4t/5z0vJjT3gomyS1qZQwX1TT9
|
||||
c22njRz+xg89FTfdNygM/znbw0YvHN8RvBxWGpIprqSDjv+lEjgp3nUfXywW58QDGrgZ7g/tfEKm
|
||||
AxaKv7/pPuqFtHeDejgjFVzXzWSIuTmZpAsTsNMxVMiCHOB3ej4uPj4wfu311jaBelkS0z+Qi3xR
|
||||
cenH0nJKSkSNMPBGLwp0RIBd9F/ATrCYYVkTyYtP7seY9A5d+sYUxTnpx/Q7ZYEKqsUkpKDZlCDg
|
||||
Bgk0ocg+qPwh+4ZSKwQNwdo4rvr93cMtKF2AujeA5Dpr8yXfWbpugwCGXk5cx57DzC8OjWPOxzfh
|
||||
g8q54Vnqt/t1gJAHj8RSH6RIl+Xot8WLTEUTRolZCCbktCHr4ZXv/93JdetXydewSqDB9LhTP71X
|
||||
aC8ARc6ZUnbBUc8v9CdSnjyBuZTbdvn2gaxUU9HKZX+7ue1SIuiurI8qSDPqvUEHUhlGWbgXIdH6
|
||||
OLi7thypN7vzAb4TINC+gg1tcdg+trwHX8gELHNbNdNJlortLHjk5fUK5N8oKQS3umjC1Zn1Mpfy
|
||||
Wbv2D3XfKTVDwQvd5Ow+hznuD+y0mGhy0orLOrJzkKxw9xWXP+uQwm1/uXmxB0+1M6+BtnPgDfob
|
||||
v2QRbzV+9sv7IFy2tVH2pHwuHhzL9MmZDcwXcoyBgMSfy64V+uhWGOHa5OKmYguFkpxQoys+cMKh
|
||||
Jybl2JCwx70Jf8uaLFC8BvG30yaiF3a5K+c6vqqrcJaVSUHMJsN8nlsAvu6qqcXdkpSRn2wNqDRo
|
||||
KrcXa0iS3Eoqog5cRa2q/wTKOuCoUx4ebQ+SxyfwzJFyGmPYx+RRh2EHxMTBsEeHkTIbJ2TWCub4
|
||||
fuGP6+j0Zrl4UwOFsC70hm3kFbR9GqweWHozuPfduI0wuLaRcqtv4ThMh/Ps2i2TM3MuwqgyS9lY
|
||||
cYhTUeNJVEvCPHdwoJ7PLOyK3vcSN4I/sTA+wePnTMUd4NY6wp4Muc3vc/NxGiK0Zdo9rgor5Er5
|
||||
lcL05fxjhacAPqg0iWaf6xU5ScLoBHQZ6sxFLo6d/VJznEJcW+dqORq/orSo75JbengcHBgkvqTD
|
||||
bewc7KFBMi2zSYA/3XWqOWCw2mv39tiqYDSc2z4sr94+2vyz+K34LFO/spN0Zg87n6+rgJ4PdQH1
|
||||
iXZ9UfDrIIZ/OFAgZpIp4Va/WCBWiCkIwPrJOvZMunBDVM07G3VYPPBP8Z4rfl+bPFsfRZvpkqIb
|
||||
aY/4KiEXRbVokXazCZ/3ZlFyZFMrIEtlyniJwLFkpen/lRQoJ8BqzbvoFIrKprnPlrVUvM2tHwJw
|
||||
mhF4vGsmSfeam5EFANN4D8xMjzaKRgHULu46bP923VMjY4ITeQhRcliuv3sOUOFKkBJ14zpgWXia
|
||||
l0CpYuXhzkraQIRPJWvtZlWoSOEjr9oE52JH5+zBc3lZMYpeh6yR5BJQD0mRwHIyJ2WBVgd69B+c
|
||||
ADKmrl9o9D+cqK8MTItXPJiuthLptS+p+nCcrAyZ8d7sMkeMYwNx33lpxBkqQ3kwwUd0Iu9G4met
|
||||
yT0ioLbA6EGgh6Ii/1ERnQbdRVtWjQhIuWTO7UnObWuMTUQamCr8ZTKoXXzbIeJcnq6bwDDxYKQj
|
||||
DLrjlbouNJWsCb18cIhUUAXV22THamBrAkYpxgh+Qn35ozW2EakTGYzzriHefTH2CNKib4wbVF5G
|
||||
Erh4krwnyG0DYajcmda/TeNNYPFejAGJ/jsG3/yfakcOEgBSjKLa2uIarqD7bf5fL9esA4+aRTUf
|
||||
mIOIEpRBegjIvPhGHfmK1j49lhLuo36pOjIKiABNpUCi1vYoE6vX53lbRyPBiF0hBnqjHfad9jH1
|
||||
X/aefgSL5uoBoJur5RhaeUoMb5aF+0UktAmKi9Syv9vUFuDmIgtsmFedy3Ubb7J2nnDQFrdgcaDX
|
||||
nV3uA4S+o7PHJUdvL52QEaXOrL/i5K1mb6Q7ODN6PMRQGWB5pJb3z1Npu8q41nj3ADanAfJApLew
|
||||
b2iNcsyOrUqLqcHOWdVpbITWHAbVma+tSex50eJmZzM4rXtBxo6gjrqhJwM8mMTpk05mlgLQhYQa
|
||||
8HZ/8bUaK0n0ft9tPEJUWniM9NLCW1QsfBVvfeuUGOGwC8Te5LApc10v077D+kFxEXZ8wv6/8tMS
|
||||
jv7brRV2MOOub2f/n6l80lYTsc6/S6AkGXIg+BEMUUsNAY6Gsqd1mzqIH1+O2DodBORsMdleTOC/
|
||||
KaQjaSm6nZrtvvcil+1510zpNnS+mzLSyFVQSj+VUN+XQmOX0FCEW1dHXeYN+w8deS3AfjqrILL4
|
||||
WViJswZCkUU5/EpHvPL5iiDuiNsW+4+rt1TY1Q4tcQU386Bz89oYyZRe0hMzeMQtL2/U7xc13ApJ
|
||||
UU54fG53yFPx8sErGwV2aV9f7pzAAOvSPdMnFLyb01kWk3hQvIvBJZxi0saIpaz74NQ3J1EWsljZ
|
||||
V3szGNZ83R6KpILR0981BHHxIaTvKMaJlXZfbwHYPBKYImSrg/tUWXZe5+XPav2Gu/lLwtoNezTh
|
||||
IjID8qI4k/oE1mv34LjzzNfp0LgwEk8XAuKOQSO8j7EYNjBmrTyxEd9Yo0rXL+4HrDGEwTQdubtb
|
||||
7idvU2ocMliDvVue3vpDk9snH/I3mZEw9lxjihUPs7bpwCAUxOVD4sqsx04eGiDjrkFKeeQHz/sT
|
||||
nN6NINiRrMlAThvjA7zJOgDCFGI03mC9ncuLPC83vtWDNhzf44ABOEHpOlqmqZeme1g8h8dBnxur
|
||||
NRBHypZXwOj0ZRoNQ+Ug26Ij+gEmjO3UPTIgTU+TPxXymuFsWYWRx4ZBkSzA78V8WhWke5Yjtw4f
|
||||
Vqn1ZjRjszsPpfKDlFxsUDF7IvlhcTrRVOZiRqKJJ185WieR36Iqogt4FEQXpRjWFrsg07CTbhAX
|
||||
4YEOByo3Qx/wFSbgc0CPcMx+VrggkWVaUgTSuO6J5OjduxX6fPwJKO6BkTweOfs7qN0z0RHS6CU2
|
||||
qOqg3ioqlG9dt/SoFrXRIIQKCwEvH3KMyq/pz4WHy4QzF5WsYS7ZSxH0e4KjmYtkIeoasycRzHWL
|
||||
0Rd8se+UV8FTTLfyqRFRQmp/Fgv2DrL3o16jBKcXEZDOVoUDwhHEWY15tUGPMpgBqDb/X3N25/hW
|
||||
4FttF7NGf6xV23Fb7Ncjwd5W8Px+HSzBuNo77dWoCEMfwTG4L7qJPP+rInXKhchCZ3k+CDqugLar
|
||||
XI4UAc40OB9pSt+7JSWnT2wgoyR1Nc4alRYq39vFMhRhpip81Kd9wPi5Ec/ESFb8aU9FDW/PswGN
|
||||
fKNSEFdtbuD9Vv6KzBMiRE+QkRIaKisSfJ88cnywW/pUN/g+oMcEnzSo5Hi5CL6wM3tPG80mANqQ
|
||||
FbyIl/V0/5bkryHyUtEIe66c1RUtbMLEAFAR/MghKxMzM1efCjAvv4JIMjv0ou2yJDw7Wgt+XoXE
|
||||
AUTMLMwMYr8Zh7aLLWJCB8cdcEIIbGDwUT9IjUXDezUHDUMPZ0+UcI7rUzCLUeAManHXZOq6m4AT
|
||||
7KvYBNkE76L1kl8CWUH3RiEQn+MYDLmJFMtSEbD1/1R7Zx6AYcQjiQ22QkKT7+fihvrMevIw1kgl
|
||||
iACMdMjdfTDJfWqeJb+WR0Y/ORAQsuBsMIj7QtH9Mt3nBoygO6K9oSQ+33Elb4nNOFu6RcWu8CIZ
|
||||
QIQg3tIyVaYb2BaTWuV5nMiqigO/RQazH2PITIGJ5zm7kHKfUWryPtRWHyhEASo4MlJmwdJ5jk7R
|
||||
rZ9M4O+UlnDewVeBBhX8mhtdLo24aHkdHQ+dESnFxkiVA7JSkGq+F5QrPI0tP1ivUejyf21rXBa+
|
||||
V7MXArXDTjJPJaUmqZjpxE5R6L/A453lVGiXfp4ECH3//taOT9N7eQiDLTiKDtokLKh53wwIqUG3
|
||||
WBWPoqqTTyr7GfU+yFo58lChhBkuLM8BW0wmiZEixCYXpt+Vf+MUxyinVFTC0bec+rJNlwRPBP9A
|
||||
1jMUcY+5hyh7RBBG6vZJZ7/pWmbIXLdtzPy2oh9LS20z4U1EGpJbI28h0YJ9Nz2TVRw2Hlvp8aG6
|
||||
uaYskAgj2+fUp/Ocf++kMOfZLRnVIuErcKBjA6cQn3I9d52LW8wGl8czwI9akw3e0BC8hTdj7MF9
|
||||
ihiM033xKRj7UvVWDRZMbwPFDuqb9xeQEmsdoV39Pt4MVRdoOasgj+am3ixTre5w39JloB9s74SB
|
||||
1JgKqf7ddutoAUjisEioL/zbXHvH+nexwIbt96Gf1vpiJ4mhFS1tDq1XJy97NEqOcmaMhoHsCRal
|
||||
dC0mTJKG2I+2UFJtBm8cARKJi8O6eG6E36grQPgQiOgtWsvLBn9lVtDCuxTb6Wxrpz5UI0JorGjI
|
||||
tyJa+Dyl07rfSR8Skkc0nx4Q7Tn6eVIqPxdxq3TJEFtQz3KQFVOVeJ3Rr9JXLiJLtH1KzKAPNoVS
|
||||
YdCQAatPR9lZKKVWDXhhNO0OCOcYKP4qYdqR1hMYI02NedrLmi6X8GwJ6a8cGZ2jbb0bmQqqunua
|
||||
jyG0FDXUu3D6M27KDft5EfUBccVkb2yU2vdoZ+GRo4w9TmpIQVqlj+EheJEZHm1r8K7pbMiUnp+K
|
||||
hsI3MM4DL+5yjLtUUrPRKTGKwO61Uk5s+mmY8vDqoTra1IzdH2r5QhEDmM3r6fh0nnXJ53SOO18c
|
||||
C6wb4Ym0z9sd8ck5zeW1xhY823Ew2++hzlHaRX2zBPqW51xGuE7vzngx/wFm/jYmtiiUkxS9t6Sj
|
||||
ni6GvXLmPlt1RkD+xEsFd+OThnoUh8U8vVmZjS4+udYnegSctgmMREgz+xn01k/j2a2Ii3liv3bq
|
||||
ZGMGVl2kdUbs/dxg2xcKpon795mEfIhKjp+atJWl2oBVOvLfbP3Jb+RMmh1X9Q/aYIR8WMvbXSkE
|
||||
F5Z0uxUEK36bGTXdy7ZSJjr+UGW9PJGT9LciLJIcmmx5wETL3AfvucPP/o3fxQEvY9jVnPCs51Ur
|
||||
H54UBI5IiEx2UIvZgKa1LhFgDrnEWgHF3v8utFc2t8126u7WXEaRzvK08bHX4sWg7XiYLrMDgQkC
|
||||
ibQY2cTnQG51QsZKw0P5nfpnbdblOz4lyFRIR7Huwu5WC9nEayzCv3ovegsjqpdtn5kWFRobdsKP
|
||||
t6htiQHZQ0CS93QmnL5QVdvxw8UoaMtY3swX/TkXuEZAqwVoLFWY/xlYrEgyiJ7B+yVANI+gTLSL
|
||||
ahQhYDfL+3LoD+YiwV5D+GN1xSCQXTKMDweL+Hl9mtUFuL9gjgETAeRVNlnCbAgnhHcDOjBLFmw8
|
||||
hNJTko0KBFq4lNn8Ko2ysLxxWmRZeZnR9/tg//uJMCzElUpPvekXLzPN9nywzWYwlOV1dP8mv/sb
|
||||
T6xhvj/DhhsdJ9s6/cSjjQvOLlMjGZhRPXnwp40UFg7wqu6/HLUCAAMUzuqbZp0OnEVNFKdLb8QW
|
||||
rqtkAmDX/UWRF9/kcJBpHr2iV980JIu9lfvuJBxCPw96Sg9DqYeGeNLeDoDNbiHUiEqFT+fHXfqh
|
||||
v68K0sDqVopyciJrYT7WvS9eZZPJW+3aOZmksVj6cT+HpjY4SfXYacRP9u2mKL8ZN20kbq6exbUv
|
||||
Wy83fSl+zNLpdnuAeRgD/XkBjHWFFtmTnz5j8zv5/YmF6O3srM3k7JNcJnsXYiByYGUFG6ON1pta
|
||||
R6/m9aoSwwZ+W8nKh+DkWKepmvc6Wza3L8JZf92bsMo8k6b2haln+SfJ7x4okVnzZNclw+cZFx9P
|
||||
izlMWehHRFRf0xkAI2xnnGc65Ej99VkSpVuj9C0QfWtrzt41ruVIlG184X+h9MtOZ5rzWGUNutMf
|
||||
HBSTAzCYdhAjTgKtXnxaSj4IT5jqfWSwxz+OyHvTFSCjn9xB5qed2QD2aJkg3SKGbXhtR7D8yW25
|
||||
p5EAV1T9KBxCFRksKZQ34HUDrPJOFJw4QAjHeHs5sGIe2EypOV59NmR/myqQls7u6LjT+a9s0bCN
|
||||
8fC18kG9bn/bPHPJszK1sCx3zq3hKzKvo+l557mPQQls3L235hAFGKo6UeG7v9rl0jI6Xb8pA/Zo
|
||||
j4gTKPxseMTLspLzv/g4y0JFjhjKLzdyad5opR2rtQkEFN9GhcYybSk8B4LGcg0M3zNoC1ThAjMs
|
||||
KgRU5LkFTwa30nyUx8WdMTAuhsl69gTC3/YyCoiTzJjaIPbi0cjyjjWfdR0Fsw/UGtPvlmgDNtbl
|
||||
+g+ECH3kgVxOAgUqMBPoxBQ9H4JHk0w6LC9YGogCUOsFaO174kOmPY4Ytk10YH/tH6FFLjMju9vZ
|
||||
yKcqiVzPGYr4qnFoJ8veTNNo08sl96kog9/QtZo86Lfmdp2PdOZAphXm/QXs78HFR5yTsgNCfD/o
|
||||
WNRiY5Ev1i3wKsqXXdnaJ3aEgRuoE82SJtOCmuxxmFb+7lAUwCJrF7wz0tnAoUE/yr30HbTgXwpB
|
||||
ZiLLU/DWh3b+Rot6D3EEHDyUxXI+mYZxl+fE5wiamBoDzpyi+D2mJ+Nb9/r8/fJ3cvbbPnqhIqaR
|
||||
/sSMY6SkQlYDczgtM8WazLTF22zARyeYk6czcUzOF+5aJgJI9jGyKbHu8hzEJXIWEwdmC8tHGrmm
|
||||
y9RZMyWF37zr9q0YUbxkRYtL84dwcjIlMttm71LmNNSa2VSZkCKTvNhmO9NOTa00XUb9xG2htNuz
|
||||
bKaOroZatbuArmoD7eaasujL9A9XDJn+7/rVcZ4G+Hz0hKhUu+cN4UisYqpC7ArY2e3ClTmj2ezl
|
||||
ejdHNNmo0UUR4zk911VDawezRg0LbJbNePbi3d6MVazvvBxucfZEUMXtFNs2JxM4ISYqSaAklAMs
|
||||
x+qhZyR4TK64TNLiRgIZc/az1ZwcerzOwutAI+sSJ6Wn9HeR1DD5B+4mWGELNZogmI0bHoxU8pCY
|
||||
nY44tE/ihGZjLEb8dWVGNmOSyzAPbXQoDPQEaFwLZK5UDYu8s+8FFn6SEQJ5d/rPSNE7byPsarkq
|
||||
1Vn1j5sAs0N8NyabAZkts0u2tAXILMtCzeTczV7jYVZWPi9HVjiZobbq/qduMkCsXfpiRUQUChAI
|
||||
y8b/srPk14WT04zq6ecz8rqhAjVdYyTDMreWiR/eUeB538Y7ZB/RPADS2a4AjxhUWJ7fL+bO2Ns5
|
||||
o8v+pH6RkeRjsW83CeoCgIMp/zV5tdmloWIp0x2SNzvF4segIf/iy8rLQrAPgornlLoG+kYuUPhS
|
||||
hvhFJmJDxTi+PP0u1MBIIBrcI0537Rg5MQH15RrRN0izYdNgvXiZPVwQKPVhygQaogVZM/JnFwyu
|
||||
DJ+1qAMsNlMz3BXp+noIHlcwDawDyU2PO0O9MMEWU5ACyw87HivGZJnOSW2fspjmcrj3WUwKke57
|
||||
GIRfBoMXrzctmbJVurR1105estOZfBJpB5ieAB4JzMmdaYynU/STeTzk82B0AhqU2t4WdIamyxe/
|
||||
lQhIL6TCXlPVmbwTAwkRog4okm8gZj984kYSncpD+csKu9wUrgRR9QJFhve9d3RVlMJZumn//kHA
|
||||
TaXLBwxvFSzMbM72G9tI0cGtVmsviu9Vl5rTsX/ww3K1FqVazRBMwqzb/MhMmnrt2MprS63/gVXQ
|
||||
vib1+G+fV/201Z69iJIDyjt1YIgZ72rmwtyuq+4X3/pUYDNoGe/R22QPk5rjGKf3RafUfXJzoqu8
|
||||
X63eep0TIvroqsf7ryRnm+bfqAidWMtrCUMnJcXwGPuAOiKYP4BEsXB0rxFl0KSxFRgLlehoUdWq
|
||||
BtVCVBzUZGZKhQ192uO7YQv7rwlI0QsJkFm0y8h8qj9Gx11WI1PL/3oWAZVYk/8ghWMFCJbQz5ly
|
||||
Cz1LoC++iyRV1S105gI+ukG/kREpyryUVHz+4oHGwc04t6I8xxAjtqg1ogo3WRIbAlvlNoLBfuRK
|
||||
5qojH0Lg1aQ1an2u9eevG3Sl4LM7u9By+9AMAZABv3ykAK0crYFZlEIKfjv3Jivr+QWMn+2/c0CY
|
||||
Eq8QxSRK/Hg759xKTFS4gXz8MRAge28OoTgD+6oXQNin3Jx2+TDAU+uiC7+64zYFbyn80GJtsdAS
|
||||
1jht9lokAwzD830nJXs2Wwpof7x13C9oXsA7Yc9vGcXXJGnwM67g8eyNrKezaY6CDPWoglhlQ8Oj
|
||||
4OEjlfJ76ADrriRQ9nq050TnGfj1nx58CzqkoAW52HeY45l+fDl36P/VP31T/RfBL9YaBEyDw9GG
|
||||
qiwdq9x4yNqGZKLD0zf1YxuOHMURuo5PetuSaAFoM+Nd8lyiyK/mEwE3GJLiaHPJXCxU7jm17ii4
|
||||
Z7X9/OoCb6gjGnS2jGNagSO+IVIl2p21mdUglzAltBsWzpB/mWUkd7bNgbMXU9srnvzoNOinuxcn
|
||||
aUOeEbtcXD7ohkcD115IJbTc3v9JBOXUlV0bMNj31pEUY90pbBtoQ+Vg90FdYPHt8rQHTwOEpBkG
|
||||
dLLwYjCB86T3Bu820/MwNBNkrbNdVJAavlm9bswoMBgBaSmgh6jdD+S9RYx0tjkwM9qW1UUbJuOV
|
||||
3l97E9zBUrPKR/a9AQcZsIIlfexSMpH18JQrMRGu822+RnS0rEaZa2Ofe5wIZgHwqMwehyT2YSZF
|
||||
2Uq9Xii2oYcZlw1fklvv7s+Kc2qocv4Z3KayarSWs3BN0xqKSOm5PZ6/AIpPrfuaXw5HNWNeEb6D
|
||||
klSw/eNc7PourRG+OTzGkCPxonjHeNKYF6tRPeLNLiLmxY1+Dmga04aT1XVA1bSj4qJgq7qr50hG
|
||||
q99klN8AgOiZlAH2jdKtIpTl+26PTUd5UA55J8lasIFEVRrFI5gJ/IYvlPQGRvCFAzoObhEF3DuV
|
||||
oKDZqN5w1ZAFcB85pa73O47S7So5pI+aHgcO1Ayhh+0L1Bku+GyJdDkN4AER0l74PSbwqs+Bjizi
|
||||
+i5neqd1RmZzc9mKxAxv27psuQSow+qiOSMeYKErY7O90H9IkDgPcnzCMPSUGOIU2oPhWeU2xhGk
|
||||
gIS/tMi+0M+fhB6hFPH5fz+riqr5IBdziRDNiZJ6cZApkv9mM3KIY6y1NDE5hJjinauM7dLTPRZ0
|
||||
mDgYFFRuMBozuDo33X9w4u3HrITj+Zjkyj7xXR3iO2NKnLDrDE8d8l4Qop1BZHIcimVAmcp6DTAs
|
||||
AcodRTflbEvvK/yCCFKbIJ7u6NB7FMWOe5CWYLpEg0XRy4czMpVLeaXJxwNtU27PJQcTB/d4EJaK
|
||||
W/BGdkk0xdZ4nXmTj76pxRbTK/+UDcWB1YHo7mmnb0/qhwG0goBBHXihg8n6Wxg2Rk05YT8mQoJ+
|
||||
e0qRKTfpvtJFAZWFXbyyz7seouavPkng8AJs3A017woQ38njiqETSUAS0xDYTfpesfpRA2GU273H
|
||||
RIvZuQC3IlVRpLV6JuepmKYO6aIlEJuWaNa+/C+jmOndljh3xFIZ1oYyZpdSI0yycnkHxs2O9Ufv
|
||||
/GGNvCdvqW2qis96G1nps+ZLCJBWzXO9dmWjCm44XuZH0c+5+j/bzF9lXIn5D+8RL7fYXEu5OZU6
|
||||
QY+2MmNecxKYDm+AzXXfXJUIdXIh0/df2QvIPQ8TRnzxI/OwG+6+ypneIEx35Fzb5QBKfJkXRWcZ
|
||||
NtZBfjQQdRnQ3+mAsJnNj8+2+yThSjc5hCojjzeaEewlDARl7FGL9TJtmU9HaMLsrOjV1au05gJ5
|
||||
zMMP8lWiPjAaWqSkE0bQCpJF4K0sr9lM4SJwKeD+s58lp1dVNeqzBj/gSZqmsyCOe/gb2B/aMXkG
|
||||
5inAb1aVzclMtcFgZEeWdxpoxe+LcIN8FOM30FL6nGNWuXpJC7wSKmgKdL+An4Nql2002iXC0nct
|
||||
zPis
|
||||
`pragma protect end_protected
|
2
gowin/bttn/impl/gwsynthesis/bttn.vgZone.Identifier
Normal file
2
gowin/bttn/impl/gwsynthesis/bttn.vgZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
189
gowin/bttn/impl/gwsynthesis/bttn_syn.rpt.html
Normal file
189
gowin/bttn/impl/gwsynthesis/bttn_syn.rpt.html
Normal file
@ -0,0 +1,189 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>synthesis Report</title>
|
||||
<style type="text/css">
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 12px; }
|
||||
div#main_wrapper{ width: 100%; }
|
||||
div#content { margin-left: 350px; margin-right: 30px; }
|
||||
div#catalog_wrapper {position: fixed; top: 30px; width: 350px; float: left; }
|
||||
div#catalog ul { list-style-type: none; }
|
||||
div#catalog li { text-align: left; list-style-type:circle; color: #0084ff; margin-top: 3px; margin-bottom: 3px; }
|
||||
div#catalog a { display:inline-block; text-decoration: none; color: #0084ff; font-weight: bold; padding: 3px; }
|
||||
div#catalog a:visited { color: #0084ff; }
|
||||
div#catalog a:hover { color: #fff; background: #0084ff; }
|
||||
hr { margin-top: 30px; margin-bottom: 30px; }
|
||||
h1, h3 { text-align: center; }
|
||||
h1 {margin-top: 50px; }
|
||||
table, th, td { border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { padding: 5px 5px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table.summary_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.detail_table td.label { min-width: 100px; width: 8%;}
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="main_wrapper">
|
||||
<div id="catalog_wrapper">
|
||||
<div id="catalog">
|
||||
<ul>
|
||||
<li><a href="#about" style=" font-size: 16px;">Synthesis Messages</a></li>
|
||||
<li><a href="#summary" style=" font-size: 16px;">Synthesis Details</a></li>
|
||||
<li><a href="#resource" style=" font-size: 16px;">Resource</a>
|
||||
<ul>
|
||||
<li><a href="#usage" style=" font-size: 14px;">Resource Usage Summary</a></li>
|
||||
<li><a href="#utilization" style=" font-size: 14px;">Resource Utilization Summary</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
</ul>
|
||||
</div><!-- catalog -->
|
||||
</div><!-- catalog_wrapper -->
|
||||
<div id="content">
|
||||
<h1><a name="about">Synthesis Messages</a></h1>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Report Title</td>
|
||||
<td>GowinSynthesis Report</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Design File</td>
|
||||
<td>\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\ALU.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\BinaryToBCD.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\addition.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\arithmeticUnit.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\dabble.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fulladder.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\fullsubtraction.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfadder.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\halfsubtraction.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\logicUnit.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\multiplier.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\opCode.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\selector.v<br>
|
||||
\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\subtraction.v<br>
|
||||
</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">GowinSynthesis Constraints File</td>
|
||||
<td>---</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Tool Version</td>
|
||||
<td>V1.9.9.03 Education (64-bit)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Part Number</td>
|
||||
<td>GW2A-LV18PG256C8/I7</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device</td>
|
||||
<td>GW2A-18</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device Version</td>
|
||||
<td>C</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Created Time</td>
|
||||
<td>Mon Jan 20 18:30:58 2025
|
||||
</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Legal Announcement</td>
|
||||
<td>Copyright (C)2014-2024 Gowin Semiconductor Corporation. ALL rights reserved.</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="summary">Synthesis Details</a></h1>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Top Level Module</td>
|
||||
<td>bttn</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Synthesis Process</td>
|
||||
<td>Running parser:<br/> CPU time = 0h 0m 0.078s, Elapsed time = 0h 0m 0.315s, Peak memory usage = 175.059MB<br/>Running netlist conversion:<br/> CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB<br/>Running device independent optimization:<br/> Optimizing Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.006s, Peak memory usage = 175.059MB<br/> Optimizing Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.003s, Peak memory usage = 175.059MB<br/> Optimizing Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.004s, Peak memory usage = 175.059MB<br/>Running inference:<br/> Inferring Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.004s, Peak memory usage = 175.059MB<br/> Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 175.059MB<br/> Inferring Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s, Peak memory usage = 175.059MB<br/> Inferring Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 175.059MB<br/>Running technical mapping:<br/> Tech-Mapping Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.004s, Peak memory usage = 175.059MB<br/> Tech-Mapping Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.003s, Peak memory usage = 175.059MB<br/> Tech-Mapping Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 175.070MB<br/> Tech-Mapping Phase 3: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 3s, Peak memory usage = 206.203MB<br/> Tech-Mapping Phase 4: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.007s, Peak memory usage = 206.203MB<br/>Generate output files:<br/> CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.074s, Peak memory usage = 206.203MB<br/></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Total Time and Memory Usage</td>
|
||||
<td>CPU time = 0h 0m 2s, Elapsed time = 0h 0m 3s, Peak memory usage = 206.203MB</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="resource">Resource</a></h1>
|
||||
<h2><a name="usage">Resource Usage Summary</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label"><b>Resource</b></td>
|
||||
<td><b>Usage</b></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label"><b>I/O Port </b></td>
|
||||
<td>28</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label"><b>I/O Buf </b></td>
|
||||
<td>28</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">    IBUF</td>
|
||||
<td>14</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">    OBUF</td>
|
||||
<td>14</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label"><b>LUT </b></td>
|
||||
<td>141</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">    LUT2</td>
|
||||
<td>16</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">    LUT3</td>
|
||||
<td>36</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">    LUT4</td>
|
||||
<td>89</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="utilization">Resource Utilization Summary</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label"><b>Resource</b></td>
|
||||
<td><b>Usage</b></td>
|
||||
<td><b>Utilization</b></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Logic</td>
|
||||
<td>141(141 LUT, 0 ALU) / 20736</td>
|
||||
<td><1%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Register</td>
|
||||
<td>0 / 16173</td>
|
||||
<td>0%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">  --Register as Latch</td>
|
||||
<td>0 / 16173</td>
|
||||
<td>0%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">  --Register as FF</td>
|
||||
<td>0 / 16173</td>
|
||||
<td>0%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">BSRAM</td>
|
||||
<td>0 / 46</td>
|
||||
<td>0%</td>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</div><!-- main_wrapper -->
|
||||
</body>
|
||||
</html>
|
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
56
gowin/bttn/impl/gwsynthesis/bttn_syn_resource.html
Normal file
56
gowin/bttn/impl/gwsynthesis/bttn_syn_resource.html
Normal file
@ -0,0 +1,56 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Hierarchy Module Resource</title>
|
||||
<style type="text/css">
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 14px; }
|
||||
div#main_wrapper{ width: 100%; }
|
||||
h1 {text-align: center; }
|
||||
h1 {margin-top: 36px; }
|
||||
table, th, td { border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { align = "center"; padding: 5px 2px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-color: #dee8f4; }
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="main_wrapper">
|
||||
<div id="content">
|
||||
<h1>Hierarchy Module Resource</h1>
|
||||
<table>
|
||||
<tr>
|
||||
<th class="label">MODULE NAME</th>
|
||||
<th class="label">REG NUMBER</th>
|
||||
<th class="label">ALU NUMBER</th>
|
||||
<th class="label">LUT NUMBER</th>
|
||||
<th class="label">DSP NUMBER</th>
|
||||
<th class="label">BSRAM NUMBER</th>
|
||||
<th class="label">SSRAM NUMBER</th>
|
||||
<th class="label">ROM16 NUMBER</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">bttn (//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">13</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--s1
|
||||
(//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">128</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</div><!-- main_wrapper -->
|
||||
</body>
|
||||
</html>
|
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
4
gowin/bttn/impl/gwsynthesis/bttn_syn_rsc.xml
Normal file
4
gowin/bttn/impl/gwsynthesis/bttn_syn_rsc.xml
Normal file
@ -0,0 +1,4 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<Module name="bttn" Lut="13" T_Lut="141(13)">
|
||||
<SubModule name="s1" Lut="128" T_Lut="128(128)"/>
|
||||
</Module>
|
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
BIN
gowin/bttn/impl/pnr/bttn.bin
Normal file
BIN
gowin/bttn/impl/pnr/bttn.bin
Normal file
Binary file not shown.
BIN
gowin/bttn/impl/pnr/bttn.binx
Normal file
BIN
gowin/bttn/impl/pnr/bttn.binx
Normal file
Binary file not shown.
2
gowin/bttn/impl/pnr/bttn.binxZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.binxZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
2
gowin/bttn/impl/pnr/bttn.binZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.binZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
BIN
gowin/bttn/impl/pnr/bttn.db
Normal file
BIN
gowin/bttn/impl/pnr/bttn.db
Normal file
Binary file not shown.
2
gowin/bttn/impl/pnr/bttn.dbZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.dbZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
1378
gowin/bttn/impl/pnr/bttn.fs
Normal file
1378
gowin/bttn/impl/pnr/bttn.fs
Normal file
File diff suppressed because it is too large
Load Diff
2
gowin/bttn/impl/pnr/bttn.fsZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.fsZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
29
gowin/bttn/impl/pnr/bttn.log
Normal file
29
gowin/bttn/impl/pnr/bttn.log
Normal file
@ -0,0 +1,29 @@
|
||||
Reading netlist file: "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg"
|
||||
Parsing netlist file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg" completed
|
||||
Processing netlist completed
|
||||
Reading constraint file: "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.cst"
|
||||
Physical Constraint parsed completed
|
||||
Running placement......
|
||||
[10%] Placement Phase 0 completed
|
||||
[20%] Placement Phase 1 completed
|
||||
[30%] Placement Phase 2 completed
|
||||
[50%] Placement Phase 3 completed
|
||||
Running routing......
|
||||
[60%] Routing Phase 0 completed
|
||||
[70%] Routing Phase 1 completed
|
||||
[80%] Routing Phase 2 completed
|
||||
[90%] Routing Phase 3 completed
|
||||
Running timing analysis......
|
||||
[95%] Timing analysis completed
|
||||
Placement and routing completed
|
||||
Bitstream generation in progress......
|
||||
Bitstream generation completed
|
||||
Running power analysis......
|
||||
[100%] Power analysis completed
|
||||
Generate file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\pnr\bttn.power.html" completed
|
||||
Generate file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\pnr\bttn.pin.html" completed
|
||||
Generate file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\pnr\bttn.rpt.html" completed
|
||||
Generate file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\pnr\bttn.rpt.txt" completed
|
||||
Generate file "\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\pnr\bttn.tr.html" completed
|
||||
Mon Jan 20 18:31:08 2025
|
||||
|
2
gowin/bttn/impl/pnr/bttn.logZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.logZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
3951
gowin/bttn/impl/pnr/bttn.pin.html
Normal file
3951
gowin/bttn/impl/pnr/bttn.pin.html
Normal file
File diff suppressed because it is too large
Load Diff
2
gowin/bttn/impl/pnr/bttn.pin.htmlZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.pin.htmlZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
269
gowin/bttn/impl/pnr/bttn.power.html
Normal file
269
gowin/bttn/impl/pnr/bttn.power.html
Normal file
@ -0,0 +1,269 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//ENhttp://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Power Analysis Report</title>
|
||||
<style type="text/css">
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 12px; }
|
||||
div#main_wrapper { width: 100%; }
|
||||
div#content { margin-left: 350px; margin-right: 30px; }
|
||||
div#catalog_wrapper {position: fixed; top: 30px; width: 350px; float: left; }
|
||||
div#catalog ul { list-style-type: none; }
|
||||
div#catalog li { text-align: left; list-style-type:circle; color: #0084ff; margin-top: 3px; margin-bottom: 3px; }
|
||||
div#catalog a { display:inline-block; text-decoration: none; color: #0084ff; font-weight: bold; padding: 3px; }
|
||||
div#catalog a:visited { color: #0084ff; }
|
||||
div#catalog a:hover { color: #fff; background: #0084ff; }
|
||||
hr { margin-top: 30px; margin-bottom: 30px; }
|
||||
h1, h3 { text-align: center; }
|
||||
h1 {margin-top: 50px; }
|
||||
table, th, td {white-space:pre; border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { padding: 5px 5px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table.summary_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.thermal_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.Configure_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.detail_table th.label { min-width: 8%; width: 8%; }
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="main_wrapper">
|
||||
<div id="catalog_wrapper">
|
||||
<div id="catalog">
|
||||
<ul>
|
||||
<li><a href="#Message" style=" font-size: 16px;">Power Messages</a>
|
||||
<ul>
|
||||
<li><a href="#Configure_Info" style=" font-size: 14px;">Configure Information</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
<li><a href="#Summary" style=" font-size: 16px;">Power Summary</a>
|
||||
<ul>
|
||||
<li><a href="#Power_Info" style=" font-size: 14px;">Power Information</a></li>
|
||||
<li><a href="#Thermal_Info" style=" font-size: 14px;">Thermal Information</a></li>
|
||||
<li><a href="#Supply_Summary" style=" font-size: 14px;">Supply Information</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
<li><a href="#Detail" style=" font-size: 16px;">Power Details</a>
|
||||
<ul>
|
||||
<li><a href="#By_Block_Type" style=" font-size: 14px;">Power By Block Type</a></li>
|
||||
<li><a href="#By_Hierarchy" style=" font-size: 14px;">Power By Hierarchy</a></li>
|
||||
<li><a href="#By_Clock_Domain" style=" font-size: 14px;">Power By Clock Domain</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
</ul>
|
||||
</div><!-- catalog -->
|
||||
</div><!-- catalog_wrapper -->
|
||||
<div id="content">
|
||||
<h1><a name="Message">Power Messages</a></h1>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Report Title</td>
|
||||
<td>Power Analysis Report</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Design File</td>
|
||||
<td>\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Physical Constraints File</td>
|
||||
<td>\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.cst</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Timing Constraints File</td>
|
||||
<td>---</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Tool Version</td>
|
||||
<td>V1.9.9.03 Education (64-bit)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Part Number</td>
|
||||
<td>GW2A-LV18PG256C8/I7</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device</td>
|
||||
<td>GW2A-18</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device Version</td>
|
||||
<td>C</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Created Time</td>
|
||||
<td>Mon Jan 20 18:31:05 2025
|
||||
</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Legal Announcement</td>
|
||||
<td>Copyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Configure_Info">Configure Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Grade</td>
|
||||
<td>Commercial</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Process</td>
|
||||
<td>Typical</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Ambient Temperature</td>
|
||||
<td>25.000
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Use Custom Theta JA</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Heat Sink</td>
|
||||
<td>None</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Air Flow</td>
|
||||
<td>LFM_0</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Use Custom Theta SA</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Board Thermal Model</td>
|
||||
<td>None</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Use Custom Theta JB</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Related Vcd File</td>
|
||||
<td></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Related Saif File</td>
|
||||
<td></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Filter Glitches</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Default IO Toggle Rate</td>
|
||||
<td>0.125</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Default Remain Toggle Rate</td>
|
||||
<td>0.125</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="Summary">Power Summary</a></h1>
|
||||
<h2><a name="Power_Info">Power Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Total Power (mW)</td>
|
||||
<td>124.522</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Quiescent Power (mW)</td>
|
||||
<td>121.169</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Dynamic Power (mW)</td>
|
||||
<td>3.353</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Thermal_Info">Thermal Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Junction Temperature</td>
|
||||
<td>28.987</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Theta JA</td>
|
||||
<td>32.020</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Max Allowed Ambient Temperature</td>
|
||||
<td>81.013</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Supply_Summary">Supply Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<th class="label">Voltage Source</th>
|
||||
<th class="label">Voltage</th>
|
||||
<th class="label">Dynamic Current(mA)</th>
|
||||
<th class="label">Quiescent Current(mA)</th>
|
||||
<th class="label">Power(mW)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>VCC</td>
|
||||
<td>1.000</td>
|
||||
<td>0.552</td>
|
||||
<td>69.981</td>
|
||||
<td>70.533</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>VCCX</td>
|
||||
<td>3.300</td>
|
||||
<td>0.552</td>
|
||||
<td>15.000</td>
|
||||
<td>51.322</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>VCCIO18</td>
|
||||
<td>1.800</td>
|
||||
<td>0.544</td>
|
||||
<td>0.938</td>
|
||||
<td>2.668</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="Detail">Power Details</a></h1>
|
||||
<h2><a name="By_Block_Type">Power By Block Type:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Block Type</th>
|
||||
<th class="label">Total Power(mW)</th>
|
||||
<th class="label">Static Power(mW)</th>
|
||||
<th class="label">Average Toggle Rate(millions of transitions/sec)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>IO</td>
|
||||
<td>8.544
|
||||
<td>5.191
|
||||
<td>6.250
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="By_Hierarchy">Power By Hierarchy:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Hierarchy Entity</th>
|
||||
<th class="label">Total Power(mW)</th>
|
||||
<th class="label">Block Dynamic Power(mW)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>bttn</td>
|
||||
<td>0.000</td>
|
||||
<td>0.000(0.000)</td>
|
||||
<tr>
|
||||
<td>bttn/s1/</td>
|
||||
<td>0.000</td>
|
||||
<td>0.000(0.000)</td>
|
||||
</table>
|
||||
<h2><a name="By_Clock_Domain">Power By Clock Domain:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Clock Domain</th>
|
||||
<th class="label">Clock Frequency(Mhz)</th>
|
||||
<th class="label">Total Dynamic Power(mW)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>NO CLOCK DOMAIN</td>
|
||||
<td>0.000</td>
|
||||
<td>0.000</td>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</div><!-- main_wrapper -->
|
||||
</body>
|
||||
</html>
|
2
gowin/bttn/impl/pnr/bttn.power.htmlZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.power.htmlZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
4197
gowin/bttn/impl/pnr/bttn.rpt.html
Normal file
4197
gowin/bttn/impl/pnr/bttn.rpt.html
Normal file
File diff suppressed because it is too large
Load Diff
2
gowin/bttn/impl/pnr/bttn.rpt.htmlZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.rpt.htmlZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
366
gowin/bttn/impl/pnr/bttn.rpt.txt
Normal file
366
gowin/bttn/impl/pnr/bttn.rpt.txt
Normal file
@ -0,0 +1,366 @@
|
||||
//Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
//All rights reserved.
|
||||
|
||||
|
||||
1. PnR Messages
|
||||
|
||||
<Report Title>: PnR Report
|
||||
<Design File>: \\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg
|
||||
<Physical Constraints File>: \\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.cst
|
||||
<Timing Constraints File>: ---
|
||||
<Tool Version>: V1.9.9.03 Education (64-bit)
|
||||
<Part Number>: GW2A-LV18PG256C8/I7
|
||||
<Device>: GW2A-18
|
||||
<Device Version>: C
|
||||
<Created Time>:Mon Jan 20 18:31:08 2025
|
||||
|
||||
|
||||
2. PnR Details
|
||||
|
||||
Running placement:
|
||||
Placement Phase 0: CPU time = 0h 0m 0.025s, Elapsed time = 0h 0m 0.025s
|
||||
Placement Phase 1: CPU time = 0h 0m 0.346s, Elapsed time = 0h 0m 0.345s
|
||||
Placement Phase 2: CPU time = 0h 0m 0.008s, Elapsed time = 0h 0m 0.008s
|
||||
Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s
|
||||
Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s
|
||||
Running routing:
|
||||
Routing Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s
|
||||
Routing Phase 1: CPU time = 0h 0m 0.178s, Elapsed time = 0h 0m 0.177s
|
||||
Routing Phase 2: CPU time = 0h 0m 0.228s, Elapsed time = 0h 0m 0.228s
|
||||
Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s
|
||||
Total Routing: CPU time = 0h 0m 0.406s, Elapsed time = 0h 0m 0.405s
|
||||
Generate output files:
|
||||
CPU time = 0h 0m 4s, Elapsed time = 0h 0m 4s
|
||||
|
||||
Total Time and Memory Usage: CPU time = 0h 0m 6s, Elapsed time = 0h 0m 6s, Peak memory usage = 433MB
|
||||
|
||||
|
||||
3. Resource Usage Summary
|
||||
|
||||
----------------------------------------------------------
|
||||
Resources | Usage
|
||||
----------------------------------------------------------
|
||||
Logic | 141/20736 <1%
|
||||
--LUT,ALU,ROM16 | 141(141 LUT, 0 ALU, 0 ROM16)
|
||||
--SSRAM(RAM16) | 0
|
||||
Register | 0/16173 0%
|
||||
--Logic Register as Latch | 0/15552 0%
|
||||
--Logic Register as FF | 0/15552 0%
|
||||
--I/O Register as Latch | 0/621 0%
|
||||
--I/O Register as FF | 0/621 0%
|
||||
CLS | 75/10368 <1%
|
||||
I/O Port | 28
|
||||
I/O Buf | 28
|
||||
--Input Buf | 14
|
||||
--Output Buf | 14
|
||||
--Inout Buf | 0
|
||||
IOLOGIC | 0%
|
||||
BSRAM | 0%
|
||||
DSP | 0%
|
||||
PLL | 0/4 0%
|
||||
DCS | 0/8 0%
|
||||
DQCE | 0/24 0%
|
||||
OSC | 0/1 0%
|
||||
CLKDIV | 0/8 0%
|
||||
DLLDLY | 0/8 0%
|
||||
DQS | 0/9 0%
|
||||
DHCEN | 0/16 0%
|
||||
==========================================================
|
||||
|
||||
|
||||
|
||||
4. I/O Bank Usage Summary
|
||||
|
||||
-----------------------
|
||||
I/O Bank | Usage
|
||||
-----------------------
|
||||
bank 0 | 1/29(3%)
|
||||
bank 1 | 5/20(25%)
|
||||
bank 2 | 2/20(10%)
|
||||
bank 3 | 8/32(25%)
|
||||
bank 4 | 2/36(5%)
|
||||
bank 5 | 0/36(0%)
|
||||
bank 6 | 2/18(11%)
|
||||
bank 7 | 8/16(50%)
|
||||
=======================
|
||||
|
||||
|
||||
5. Global Clock Usage Summary
|
||||
|
||||
-------------------------------
|
||||
Global Clock | Usage
|
||||
-------------------------------
|
||||
PRIMARY | 0/8(0%)
|
||||
LW | 0/8(0%)
|
||||
GCLK_PIN | 1/8(13%)
|
||||
PLL | 0/4(0%)
|
||||
CLKDIV | 0/8(0%)
|
||||
DLLDLY | 0/8(0%)
|
||||
===============================
|
||||
|
||||
|
||||
6. Global Clock Signals
|
||||
|
||||
-------------------------------------------
|
||||
Signal | Global Clock | Location
|
||||
-------------------------------------------
|
||||
===========================================
|
||||
|
||||
|
||||
7. Pinout by Port Name
|
||||
|
||||
-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
Port Name | Diff Pair | Loc./Bank | Constraint | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Vref | Single Resistor | Diff Resistor | BankVccio
|
||||
-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
A[0] | | A11/7 | Y | in | IOL15[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
A[1] | | N6/3 | Y | in | IOR51[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
A[2] | | E15/1 | Y | in | IOT44[B] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A[3] | | L9/3 | Y | in | IOR40[B] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
B[0] | | B11/7 | Y | in | IOL13[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
B[1] | | D11/7 | Y | in | IOL22[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
B[2] | | N7/3 | Y | in | IOR47[B] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
B[3] | | N8/3 | Y | in | IOR40[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
opCodeA[0] | | T5/4 | Y | in | IOB54[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
opCodeA[1] | | T4/4 | Y | in | IOB45[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
opCodeA[2] | | E8/6 | Y | in | IOL45[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
select[0] | | A15/7 | Y | in | IOL2[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
select[1] | | A14/7 | Y | in | IOL8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
Cin | | E9/6 | Y | in | IOL38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
leds[0] | | L16/1 | Y | out | IOT34[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
leds[1] | | L14/1 | Y | out | IOT34[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
Y[0] | | P6/3 | Y | out | IOR53[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[1] | | T7/3 | Y | out | IOR29[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[2] | | P8/3 | Y | out | IOR42[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[3] | | P9/3 | Y | out | IOR38[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[4] | | T11/2 | Y | out | IOR24[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[5] | | T12/2 | Y | out | IOR17[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[6] | | M14/1 | Y | out | IOT40[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
Y[7] | | J14/0 | Y | out | IOT22[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
Y[8] | | D14/1 | Y | out | IOT44[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
Y[9] | | B14/7 | Y | out | IOL2[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[10] | | B13/7 | Y | out | IOL8[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
Y[11] | | B12/7 | Y | out | IOL7[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
===================================================================================================================================================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
8. All Package Pins
|
||||
|
||||
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
Loc./Bank| Signal | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Vref | Single Resistor | Diff Resistor | Bank Vccio
|
||||
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
L15/0 | - | in | IOT2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D16/0 | - | in | IOT4[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E14/0 | - | in | IOT4[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C16/0 | - | in | IOT5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D15/0 | - | in | IOT5[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E16/0 | - | in | IOT6[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F15/0 | - | in | IOT6[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F13/0 | - | in | IOT8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G12/0 | - | in | IOT8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F14/0 | - | in | IOT9[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F16/0 | - | in | IOT9[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F12/0 | - | in | IOT12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G13/0 | - | in | IOT12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G15/0 | - | in | IOT13[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G14/0 | - | in | IOT13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G11/0 | - | in | IOT14[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H12/0 | - | in | IOT14[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G16/0 | - | in | IOT16[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H15/0 | - | in | IOT16[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H13/0 | - | in | IOT18[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J12/0 | - | in | IOT18[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H14/0 | - | in | IOT20[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H16/0 | - | in | IOT20[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J16/0 | - | in | IOT22[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J14/0 | Y[7] | out | IOT22[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
J15/0 | - | in | IOT24[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K16/0 | - | in | IOT24[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H11/0 | - | in | IOT27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J13/0 | - | in | IOT27[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K14/1 | - | in | IOT30[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K15/1 | - | in | IOT30[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J11/1 | - | in | IOT32[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L12/1 | - | in | IOT32[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L16/1 | leds[0] | out | IOT34[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
L14/1 | leds[1] | out | IOT34[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
K13/1 | - | in | IOT36[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K12/1 | - | in | IOT36[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K11/1 | - | in | IOT38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L13/1 | - | in | IOT38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M14/1 | Y[6] | out | IOT40[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
M15/1 | - | in | IOT40[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D14/1 | Y[8] | out | IOT44[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
E15/1 | A[2] | in | IOT44[B] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N15/1 | - | in | IOT48[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P16/1 | - | in | IOT48[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N16/1 | - | in | IOT52[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N14/1 | - | in | IOT52[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P15/1 | - | in | IOT54[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R16/1 | - | in | IOT54[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
A4/5 | - | in | IOB2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C5/5 | - | in | IOB2[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D6/5 | - | in | IOB3[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E7/5 | - | in | IOB3[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A3/5 | - | in | IOB4[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B4/5 | - | in | IOB4[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A5/5 | - | in | IOB7[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B6/5 | - | in | IOB7[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B1/5 | - | in | IOB8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C2/5 | - | in | IOB8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D3/5 | - | in | IOB9[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D1/5 | - | in | IOB9[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E2/5 | - | in | IOB12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E3/5 | - | in | IOB12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B3/5 | - | in | IOB13[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A2/5 | - | in | IOB13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C1/5 | - | in | IOB14[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D2/5 | - | in | IOB14[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E1/5 | - | in | IOB16[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F2/5 | - | in | IOB16[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F4/5 | - | in | IOB18[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G6/5 | - | in | IOB18[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F3/5 | - | in | IOB19[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F1/5 | - | in | IOB19[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G5/5 | - | in | IOB20[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G4/5 | - | in | IOB20[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G2/5 | - | in | IOB21[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G3/5 | - | in | IOB21[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F5/5 | - | in | IOB22[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H6/5 | - | in | IOB22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
G1/5 | - | in | IOB24[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H2/5 | - | in | IOB24[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H4/5 | - | in | IOB26[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J6/5 | - | in | IOB26[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J1/5 | - | in | IOB27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J3/5 | - | in | IOB27[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L2/4 | - | in | IOB30[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M1/4 | - | in | IOB30[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H3/4 | - | in | IOB32[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H1/4 | - | in | IOB32[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J2/4 | - | in | IOB34[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K1/4 | - | in | IOB34[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
H5/4 | - | in | IOB35[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J4/4 | - | in | IOB35[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K3/4 | - | in | IOB36[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K2/4 | - | in | IOB36[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
J5/4 | - | in | IOB37[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K6/4 | - | in | IOB37[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L1/4 | - | in | IOB38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L3/4 | - | in | IOB38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K4/4 | - | in | IOB39[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L5/4 | - | in | IOB39[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
K5/4 | - | in | IOB40[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L4/4 | - | in | IOB40[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N2/4 | - | in | IOB41[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P1/4 | - | in | IOB41[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M3/4 | - | in | IOB42[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N1/4 | - | in | IOB42[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M2/4 | - | in | IOB43[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N3/4 | - | in | IOB43[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R1/4 | - | in | IOB44[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P2/4 | - | in | IOB44[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P4/4 | - | in | IOB45[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T4/4 | opCodeA[1] | in | IOB45[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R3/4 | - | in | IOB48[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T2/4 | - | in | IOB48[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P5/4 | - | in | IOB50[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R5/4 | - | in | IOB50[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R4/4 | - | in | IOB52[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T3/4 | - | in | IOB52[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R6/4 | - | in | IOB54[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T5/4 | opCodeA[0] | in | IOB54[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
B14/7 | Y[9] | out | IOL2[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
A15/7 | select[0] | in | IOL2[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
C12/7 | - | in | IOL7[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B12/7 | Y[11] | out | IOL7[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
B13/7 | Y[10] | out | IOL8[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
A14/7 | select[1] | in | IOL8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
F10/7 | - | in | IOL11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B11/7 | B[0] | in | IOL13[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
A12/7 | - | in | IOL13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A11/7 | A[0] | in | IOL15[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
C11/7 | - | in | IOL15[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D10/7 | - | in | IOL17[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E10/7 | - | in | IOL17[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D11/7 | B[1] | in | IOL22[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
A9/7 | - | in | IOL27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C9/7 | - | in | IOL27[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C8/6 | - | in | IOL29[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A8/6 | - | in | IOL29[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F9/6 | - | in | IOL31[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E11/6 | - | in | IOL31[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B9/6 | - | in | IOL33[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A10/6 | - | in | IOL33[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F8/6 | - | in | IOL35[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D9/6 | - | in | IOL35[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D8/6 | - | in | IOL38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E9/6 | Cin | in | IOL38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
B7/6 | - | in | IOL40[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C7/6 | - | in | IOL40[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
F7/6 | - | in | IOL45[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E8/6 | opCodeA[2] | in | IOL45[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
C4/6 | - | in | IOL47[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B5/6 | - | in | IOL47[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
E6/6 | - | in | IOL53[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
D7/6 | - | in | IOL53[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
T15/2 | - | in | IOR7[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R14/2 | - | in | IOR7[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P12/2 | - | in | IOR8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T13/2 | - | in | IOR8[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R12/2 | - | in | IOR11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P13/2 | - | in | IOR11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R11/2 | - | in | IOR17[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T12/2 | Y[5] | out | IOR17[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
R13/2 | - | in | IOR20[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T14/2 | - | in | IOR20[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M10/2 | - | in | IOR22[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N11/2 | - | in | IOR22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T11/2 | Y[4] | out | IOR24[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
P11/2 | - | in | IOR24[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C6/2 | - | out | IOR25[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
B8/2 | - | in | IOR25[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A7/2 | - | in | IOR26[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A6/2 | - | in | IOR26[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N10/2 | - | in | IOR27[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M11/2 | - | in | IOR27[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T7/3 | Y[1] | out | IOR29[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
R8/3 | - | in | IOR29[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M16/3 | - | in | IOR30[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B16/3 | - | in | IOR30[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C15/3 | - | in | IOR31[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
B10/3 | - | in | IOR31[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
A13/3 | - | in | IOR32[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
C13/3 | - | in | IOR32[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P10/3 | - | in | IOR33[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R10/3 | - | in | IOR33[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M9/3 | - | in | IOR34[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L10/3 | - | in | IOR34[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
R9/3 | - | in | IOR35[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T10/3 | - | in | IOR35[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M8/3 | - | in | IOR36[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N9/3 | - | in | IOR36[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
T9/3 | - | in | IOR38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P9/3 | Y[3] | out | IOR38[B] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
C10/3 | - | in | IOR39[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N8/3 | B[3] | in | IOR40[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
L9/3 | A[3] | in | IOR40[B] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
P8/3 | Y[2] | out | IOR42[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
T8/3 | - | in | IOR42[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M6/3 | - | in | IOR44[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
L8/3 | - | in | IOR44[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
M7/3 | - | in | IOR47[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N7/3 | B[2] | in | IOR47[B] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
R7/3 | - | in | IOR49[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
P7/3 | - | in | IOR49[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
N6/3 | A[1] | in | IOR51[A] | LVCMOS18 | NA | NONE | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
P6/3 | Y[0] | out | IOR53[A] | LVCMOS18 | 8 | NONE | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
T6/3 | - | in | IOR53[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
========================================================================================================================================================================================
|
||||
|
||||
|
2
gowin/bttn/impl/pnr/bttn.rpt.txtZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.rpt.txtZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
0
gowin/bttn/impl/pnr/bttn.timing_paths
Normal file
0
gowin/bttn/impl/pnr/bttn.timing_paths
Normal file
2
gowin/bttn/impl/pnr/bttn.timing_pathsZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.timing_pathsZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
10
gowin/bttn/impl/pnr/bttn.tr.html
Normal file
10
gowin/bttn/impl/pnr/bttn.tr.html
Normal file
@ -0,0 +1,10 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Timing Analysis Report</title>
|
||||
</head>
|
||||
<frameset cols="20%, 80%">
|
||||
<frame src="bttn_tr_cata.html" name="cataFrame" />
|
||||
<frame src="bttn_tr_content.html" name="mainFrame"/>
|
||||
</frameset>
|
||||
</html>
|
2
gowin/bttn/impl/pnr/bttn.tr.htmlZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn.tr.htmlZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
132
gowin/bttn/impl/pnr/bttn_tr_cata.html
Normal file
132
gowin/bttn/impl/pnr/bttn_tr_cata.html
Normal file
@ -0,0 +1,132 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Timing Report Navigation</title>
|
||||
<style type="text/css">
|
||||
@import url(../temp/style.css);
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 12px; }
|
||||
div#catalog_wrapper { width: 100%; }
|
||||
div#catalog ul { list-style: none; margin-left: -15px; }
|
||||
div#catalog ul li { margin: 3px 0 3px 0; text-align: left; color: #0084ff; white-space: nowrap; word-break: keep-all; }
|
||||
div#catalog a { display:inline-block; text-decoration: none; color: #0084ff; font-weight: bold; padding: 4px; margin: 0 0 0 0; }
|
||||
div#catalog a:visited { color: #0084ff; }
|
||||
div#catalog a:hover { color: #fff; background: #0084ff; }
|
||||
div.triangle_fake, div.triangle { display: inline-block; cursor: pointer; width: 8px; height: 0; border-top: 5px solid transparent; border-bottom: 5px solid transparent; }
|
||||
div.triangle_fake { border-left: 5px solid transparent; }
|
||||
div.triangle { border-left: 5px solid #0084ff; }
|
||||
div.triangle:hover { border-left-color: #000; }
|
||||
</style>
|
||||
<script>
|
||||
function onClick(obj){var childs=obj.parentNode.childNodes;for(var i=0;i<childs.length;i++){if(childs[i].tagName=="UL"){if(childs[i].style.display=="none"){childs[i].style.display="block"}else{childs[i].style.display="none"}}}};
|
||||
</script>
|
||||
</head>
|
||||
<body>
|
||||
<div id="catalog_wrapper">
|
||||
<div id="catalog">
|
||||
<ul>
|
||||
<!-- messages begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Message" target="mainFrame" style=" font-size: 16px;">Timing Messages</a></li>
|
||||
<!-- messages end-->
|
||||
<!-- summaries begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="bttn_tr_content.html#Summary" style=" font-size: 16px;" target="mainFrame">Timing Summaries</a>
|
||||
<ul>
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#STA_Tool_Run_Summary" style=" font-size: 14px;" target="mainFrame">STA Tool Run Summary</a></li>
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Clock_Report" style=" font-size: 14px;" target="mainFrame">Clock Summary</a></li>
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Max_Frequency_Report" style=" font-size: 14px;" target="mainFrame">Max Frequency Summary</a></li>
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Total_Negative_Slack_Report" style=" font-size: 14px;" target="mainFrame">Total Negative Slack Summary</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
<!-- summaries end-->
|
||||
<!-- details begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="bttn_tr_content.html#Detail" style=" font-size: 16px;" target="mainFrame">Timing Details</a>
|
||||
<ul>
|
||||
<!--All_Path_Slack_Table begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="bttn_tr_content.html#All_Path_Slack_Table" style=" font-size: 14px;" target="mainFrame">Path Slacks Table</a>
|
||||
<ul>
|
||||
<!--Setup_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Setup_Slack_Table" style=" font-size: 13px;" target="mainFrame">Setup Paths Table</a>
|
||||
</li>
|
||||
<!--Setup_Slack_Table end-->
|
||||
<!--Hold_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Hold_Slack_Table" style=" font-size: 13px;" target="mainFrame">Hold Paths Table</a>
|
||||
</li>
|
||||
<!--Hold_Slack_Table end-->
|
||||
<!--Recovery_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Recovery_Slack_Table" style=" font-size: 13px;" target="mainFrame">Recovery Paths Table</a>
|
||||
</li>
|
||||
<!--Recovery_Slack_Table end-->
|
||||
<!--Removal_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Removal_Slack_Table" style=" font-size: 13px;" target="mainFrame">Removal Paths Table</a>
|
||||
</li>
|
||||
<!--Removal_Slack_Table end-->
|
||||
</ul>
|
||||
</li><!--All_Path_Slack_Table end-->
|
||||
<!--MIN_PULSE_WIDTH_TABLE begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#MIN_PULSE_WIDTH_TABLE" style=" font-size: 14px;" target="mainFrame">Minimum Pulse Width Table</a>
|
||||
</li>
|
||||
<!--MIN_PULSE_WIDTH_TABLE end-->
|
||||
<!--Timing_Report_by_Analysis_Type begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="bttn_tr_content.html#Timing_Report_by_Analysis_Type" style=" font-size: 14px;" target="mainFrame">Timing Report By Analysis Type</a>
|
||||
<ul>
|
||||
<!--Setup_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Setup_Analysis" style=" font-size: 13px;" target="mainFrame">Setup Analysis Report</a>
|
||||
</li>
|
||||
<!--Setup_Analysis end-->
|
||||
<!--Hold_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Hold_Analysis" style=" font-size: 13px;" target="mainFrame">Hold Analysis Report</a>
|
||||
</li>
|
||||
<!--Hold_Analysis end-->
|
||||
<!--Recovery_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Recovery_Analysis" style=" font-size: 13px;" target="mainFrame">Recovery Analysis Report</a>
|
||||
</li>
|
||||
<!--Recovery_Analysis end-->
|
||||
<!--Removal_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Removal_Analysis" style=" font-size: 13px;" target="mainFrame">Removal Analysis Report</a>
|
||||
</li>
|
||||
<!--Removal_Analysis end-->
|
||||
</ul>
|
||||
</li>
|
||||
<!--Timing_Report_by_Analysis_Type end-->
|
||||
<!--Minimum_Pulse_Width_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Minimum_Pulse_Width_Report" style=" font-size: 14px;" target="mainFrame">Minimum Pulse Width Report</a>
|
||||
</li>
|
||||
<!--Minimum_Pulse_Width_Report end-->
|
||||
<!--High_Fanout_Nets_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#High_Fanout_Nets_Report" style=" font-size: 14px;" target="mainFrame">High Fanout Nets Report</a></li>
|
||||
<!--High_Fanout_Nets_Report end-->
|
||||
<!--Route_Congestions_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Route_Congestions_Report" style=" font-size: 14px;" target="mainFrame">Route Congestions Report</a></li>
|
||||
<!--Route_Congestions_Report end-->
|
||||
<!--Timing_Exceptions_Report begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="bttn_tr_content.html#Timing_Exceptions_Report" style=" font-size: 14px;" target="mainFrame">Timing Exceptions Report</a>
|
||||
<ul>
|
||||
<!--Setup_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Setup_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Setup Analysis Report</a>
|
||||
</li>
|
||||
<!--Setup_Analysis_Exceptions end-->
|
||||
<!--Hold_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Hold_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Hold Analysis Report</a>
|
||||
</li>
|
||||
<!--Hold_Analysis_Exceptions end-->
|
||||
<!--Recovery_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#Recovery_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Recovery Analysis Report</a>
|
||||
</li>
|
||||
<!--Recovery_Analysis_Exceptions end-->
|
||||
<!--Removal_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake" onclick="onClick(this)"></div><a href="bttn_tr_content.html#Removal_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Removal Analysis Report</a>
|
||||
</li>
|
||||
<!--Removal_Analysis_Exceptions end-->
|
||||
</ul>
|
||||
</li>
|
||||
<!--Timing_Exceptions_Report end-->
|
||||
<!--SDC_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="bttn_tr_content.html#SDC_Report" style=" font-size: 14px;" target="mainFrame">Timing Constraints Report</a></li>
|
||||
<!--SDC_Report end-->
|
||||
</ul>
|
||||
</li>
|
||||
<!-- details end-->
|
||||
</ul>
|
||||
</div><!-- catalog -->
|
||||
</div><!-- catalog_wrapper -->
|
||||
</body>
|
||||
</html>
|
2
gowin/bttn/impl/pnr/bttn_tr_cata.htmlZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn_tr_cata.htmlZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
257
gowin/bttn/impl/pnr/bttn_tr_content.html
Normal file
257
gowin/bttn/impl/pnr/bttn_tr_content.html
Normal file
@ -0,0 +1,257 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Timing Analysis Report</title>
|
||||
<style type="text/css">
|
||||
@import url(../temp/style.css);
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 12px; }
|
||||
div#content { width: 100%; margin: }
|
||||
hr { margin-top: 30px; margin-bottom: 30px; }
|
||||
h1, h3 { text-align: center; }
|
||||
h1 {margin-top: 50px; }
|
||||
table, th, td {white-space:pre; border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { padding: 5px 5px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table.summary_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.detail_table th.label { min-width: 8%; width: 8%; }
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="content">
|
||||
<h1><a name="Message">Timing Messages</a></h1>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Report Title</td>
|
||||
<td>Timing Analysis Report</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Design File</td>
|
||||
<td>\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Physical Constraints File</td>
|
||||
<td>\\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.cst</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Timing Constraint File</td>
|
||||
<td>---</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Tool Version</td>
|
||||
<td>V1.9.9.03 Education (64-bit)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Part Number</td>
|
||||
<td>GW2A-LV18PG256C8/I7</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device</td>
|
||||
<td>GW2A-18</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device Version</td>
|
||||
<td>C</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Created Time</td>
|
||||
<td>Mon Jan 20 18:31:08 2025
|
||||
</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Legal Announcement</td>
|
||||
<td>Copyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="Summary">Timing Summaries</a></h1>
|
||||
<h2><a name="STA_Tool_Run_Summary">STA Tool Run Summary:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Setup Delay Model</td>
|
||||
<td>Slow 0.95V 85C C8/I7</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Hold Delay Model</td>
|
||||
<td>Fast 1.05V 0C C8/I7</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Numbers of Paths Analyzed</td>
|
||||
<td>158</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Numbers of Endpoints Analyzed</td>
|
||||
<td>14</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Numbers of Falling Endpoints</td>
|
||||
<td>0</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Numbers of Setup Violated Endpoints</td>
|
||||
<td>0</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Numbers of Hold Violated Endpoints</td>
|
||||
<td>0</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Clock_Report">Clock Summary:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Clock Name</th>
|
||||
<th class="label">Type</th>
|
||||
<th class="label">Period</th>
|
||||
<th class="label">Frequency(MHz)</th>
|
||||
<th class="label">Rise</th>
|
||||
<th class="label">Fall</th>
|
||||
<th class="label">Source</th>
|
||||
<th class="label">Master</th>
|
||||
<th class="label">Objects</th>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Max_Frequency_Report">Max Frequency Summary:</a></h2>
|
||||
<table>
|
||||
<tr>
|
||||
<th>NO.</th>
|
||||
<th>Clock Name</th>
|
||||
<th>Constraint</th>
|
||||
<th>Actual Fmax</th>
|
||||
<th>Logic Level</th>
|
||||
<th>Entity</th>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Total_Negative_Slack_Report">Total Negative Slack Summary:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Clock Name</th>
|
||||
<th class="label">Analysis Type</th>
|
||||
<th class="label">Endpoints TNS</th>
|
||||
<th class="label">Number of Endpoints</th>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="Detail">Timing Details</a></h1>
|
||||
<h2><a name="All_Path_Slack_Table">Path Slacks Table:</a></h2>
|
||||
<h3><a name="Setup_Slack_Table">Setup Paths Table</a></h3>
|
||||
<h4>Report Command:report_timing -setup -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>Nothing to report!</h4>
|
||||
<h3><a name="Hold_Slack_Table">Hold Paths Table</a></h3>
|
||||
<h4>Report Command:report_timing -hold -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>Nothing to report!</h4>
|
||||
<h3><a name="Recovery_Slack_Table">Recovery Paths Table</a></h3>
|
||||
<h4>Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>Nothing to report!</h4>
|
||||
<h3><a name="Removal_Slack_Table">Removal Paths Table</a></h3>
|
||||
<h4>Report Command:report_timing -removal -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>Nothing to report!</h4>
|
||||
<h2><a name="MIN_PULSE_WIDTH_TABLE">Minimum Pulse Width Table:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Number</th>
|
||||
<th class="label">Slack</th>
|
||||
<th class="label">Actual Width</th>
|
||||
<th class="label">Required Width</th>
|
||||
<th class="label">Type</th>
|
||||
<th class="label">Clock</th>
|
||||
<th class="label">Objects</th>
|
||||
</tr>
|
||||
<h4>Report Command:report_min_pulse_width -nworst 10 -detail</h4>
|
||||
<h4>Nothing to report!</h4>
|
||||
</table>
|
||||
<h2><a name="Timing_Report_by_Analysis_Type">Timing Report By Analysis Type:</a></h2>
|
||||
<h3><a name="Setup_Analysis">Setup Analysis Report</a></h3>
|
||||
<h4>Report Command:report_timing -setup -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>No setup paths to report!</h4>
|
||||
<h3><a name="Hold_Analysis">Hold Analysis Report</a></h3>
|
||||
<h4>Report Command:report_timing -hold -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>No hold paths to report!</h4>
|
||||
<h3><a name="Recovery_Analysis">Recovery Analysis Report</a></h3>
|
||||
<h4>Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>No recovery paths to report!</h4>
|
||||
<h3><a name="Removal_Analysis">Removal Analysis Report</a></h3>
|
||||
<h4>Report Command:report_timing -removal -max_paths 25 -max_common_paths 1</h4>
|
||||
<h4>No removal paths to report!</h4>
|
||||
<h2><a name="Minimum_Pulse_Width_Report">Minimum Pulse Width Report:</a></h2>
|
||||
<h4>Report Command:report_min_pulse_width -nworst 10 -detail</h4>
|
||||
<h4>Nothing to report!</h4>
|
||||
<h2><a name="High_Fanout_Nets_Report">High Fanout Nets Report:</a></h2>
|
||||
<h4>Report Command:report_high_fanout_nets -max_nets 10</h4>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">FANOUT</th>
|
||||
<th class="label">NET NAME</th>
|
||||
<th class="label">WORST SLACK</th>
|
||||
<th class="label">MAX DELAY</th>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Route_Congestions_Report">Route Congestions Report:</a></h2>
|
||||
<h4>Report Command:report_route_congestion -max_grids 10</h4>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">GRID LOC</th>
|
||||
<th class="label">ROUTE CONGESTIONS</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R27C30</td>
|
||||
<td>43.06%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R29C29</td>
|
||||
<td>43.06%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R27C29</td>
|
||||
<td>40.28%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R26C29</td>
|
||||
<td>33.33%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R26C30</td>
|
||||
<td>31.94%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R29C28</td>
|
||||
<td>30.56%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R29C30</td>
|
||||
<td>29.17%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R27C28</td>
|
||||
<td>26.39%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R27C31</td>
|
||||
<td>25.00%</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>R26C28</td>
|
||||
<td>25.00%</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Timing_Exceptions_Report">Timing Exceptions Report:</a></h2>
|
||||
<h3><a name="Setup_Analysis_Exceptions">Setup Analysis Report</a></h3>
|
||||
<h4>Report Command:report_exceptions -setup -max_paths 5 -max_common_paths 1</h4>
|
||||
<h4>No timing exceptions to report!</h4>
|
||||
<h3><a name="Hold_Analysis_Exceptions">Hold Analysis Report</a></h3>
|
||||
<h4>Report Command:report_exceptions -hold -max_paths 5 -max_common_paths 1</h4>
|
||||
<h4>No timing exceptions to report!</h4>
|
||||
<h3><a name="Recovery_Analysis_Exceptions">Recovery Analysis Report</a></h3>
|
||||
<h4>Report Command:report_exceptions -recovery -max_paths 5 -max_common_paths 1</h4>
|
||||
<h4>No timing exceptions to report!</h4>
|
||||
<h3><a name="Removal_Analysis_Exceptions">Removal Analysis Report</a></h3>
|
||||
<h4>Report Command:report_exceptions -removal -max_paths 5 -max_common_paths 1</h4>
|
||||
<h4>No timing exceptions to report!</h4>
|
||||
<h2><a name="SDC_Report">Timing Constraints Report:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">SDC Command Type</th>
|
||||
<th class="label">State</th>
|
||||
<th class="label">Detail Command</th>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</body>
|
||||
</html>
|
2
gowin/bttn/impl/pnr/bttn_tr_content.htmlZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/bttn_tr_content.htmlZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
13
gowin/bttn/impl/pnr/cmd.do
Normal file
13
gowin/bttn/impl/pnr/cmd.do
Normal file
@ -0,0 +1,13 @@
|
||||
-d \\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\gwsynthesis\bttn.vg
|
||||
-p GW2A-18C-PBGA256-8
|
||||
-pn GW2A-LV18PG256C8/I7
|
||||
-cst \\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\src\bttn.cst
|
||||
-cfg \\wsl.localhost\Debian\home\koray\code\verilog\gowin\bttn\impl\pnr\device.cfg
|
||||
-bit
|
||||
-tr
|
||||
-ph
|
||||
-timing
|
||||
-cst_error
|
||||
-correct_hold 1
|
||||
-route_maxfan 23
|
||||
-global_freq 100.000
|
2
gowin/bttn/impl/pnr/cmd.doZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/cmd.doZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
21
gowin/bttn/impl/pnr/device.cfg
Normal file
21
gowin/bttn/impl/pnr/device.cfg
Normal file
@ -0,0 +1,21 @@
|
||||
set JTAG regular_io = false
|
||||
set SSPI regular_io = false
|
||||
set MSPI regular_io = false
|
||||
set READY regular_io = false
|
||||
set DONE regular_io = false
|
||||
set I2C regular_io = false
|
||||
set RECONFIG_N regular_io = false
|
||||
set CRC_check = true
|
||||
set compress = false
|
||||
set encryption = false
|
||||
set security_bit_enable = true
|
||||
set bsram_init_fuse_print = true
|
||||
set background_programming = off
|
||||
set secure_mode = false
|
||||
set program_done_bypass = false
|
||||
set wake_up = 0
|
||||
set format = binary
|
||||
set power_on_reset_monitor = true
|
||||
set multiboot_spi_flash_address = 0x00000000
|
||||
set vccx = 3.3
|
||||
set unused_pin = default
|
2
gowin/bttn/impl/pnr/device.cfgZone.Identifier
Normal file
2
gowin/bttn/impl/pnr/device.cfgZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
@ -1,148 +1,143 @@
|
||||
[
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"InstLine" : 1,
|
||||
"InstName" : "bttn",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "bttn",
|
||||
"SubInsts" : [
|
||||
{
|
||||
<<<<<<< HEAD
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"InstLine" : 11,
|
||||
=======
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"InstLine" : 10,
|
||||
>>>>>>> 15916a2c534beff06a16239dd4912b40b7f837b6
|
||||
"InstLine" : 13,
|
||||
"InstName" : "a1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "ALU",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstLine" : 18,
|
||||
"InstName" : "opCd",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/opCode.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/opCode.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "opCode"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstLine" : 20,
|
||||
"InstName" : "aU",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "arithmeticUnit",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"InstLine" : 13,
|
||||
"InstName" : "a1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "addition",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 11,
|
||||
"InstName" : "f0",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 12,
|
||||
"InstName" : "f1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 13,
|
||||
"InstName" : "f2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 14,
|
||||
"InstName" : "f3",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
@ -151,112 +146,112 @@
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"InstLine" : 14,
|
||||
"InstName" : "s1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "subtraction",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstLine" : 11,
|
||||
"InstName" : "f0",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fullsubtraction",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "hf1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "hf2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstLine" : 12,
|
||||
"InstName" : "f1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fullsubtraction",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "hf1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "hf2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstLine" : 13,
|
||||
"InstName" : "f2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fullsubtraction",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "hf1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "hf2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"InstLine" : 14,
|
||||
"InstName" : "f3",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fullsubtraction",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "hf1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "hf2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfsubtraction"
|
||||
}
|
||||
@ -267,128 +262,128 @@
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstLine" : 21,
|
||||
"InstName" : "lU",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/logicUnit.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/logicUnit.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "logicUnit"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstLine" : 22,
|
||||
"InstName" : "mU",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "multiplier",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"InstLine" : 26,
|
||||
"InstName" : "add0",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "addition",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 11,
|
||||
"InstName" : "f0",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 12,
|
||||
"InstName" : "f1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 13,
|
||||
"InstName" : "f2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 14,
|
||||
"InstName" : "f3",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
@ -397,112 +392,112 @@
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"InstLine" : 42,
|
||||
"InstName" : "add1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "addition",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 11,
|
||||
"InstName" : "f0",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 12,
|
||||
"InstName" : "f1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 13,
|
||||
"InstName" : "f2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 14,
|
||||
"InstName" : "f3",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
@ -511,112 +506,112 @@
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"InstLine" : 58,
|
||||
"InstName" : "add2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "addition",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 11,
|
||||
"InstName" : "f0",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 12,
|
||||
"InstName" : "f1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 13,
|
||||
"InstName" : "f2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"InstLine" : 14,
|
||||
"InstName" : "f3",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "fulladder",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 8,
|
||||
"InstName" : "h1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"InstLine" : 9,
|
||||
"InstName" : "h2",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "halfadder"
|
||||
}
|
||||
@ -627,66 +622,66 @@
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"InstLine" : 76,
|
||||
"InstName" : "btod1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "BinaryToBCD",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstLine" : 14,
|
||||
"InstName" : "d1t",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "dabble"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstLine" : 23,
|
||||
"InstName" : "d2u",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "dabble"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstLine" : 32,
|
||||
"InstName" : "d3v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "dabble"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstLine" : 41,
|
||||
"InstName" : "d4w",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "dabble"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstLine" : 50,
|
||||
"InstName" : "d5x",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "dabble"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstLine" : 59,
|
||||
"InstName" : "d6y",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "dabble"
|
||||
},
|
||||
{
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"InstLine" : 68,
|
||||
"InstName" : "d7z",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "dabble"
|
||||
}
|
||||
@ -695,15 +690,10 @@
|
||||
]
|
||||
},
|
||||
{
|
||||
<<<<<<< HEAD
|
||||
"InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"InstLine" : 12,
|
||||
=======
|
||||
"InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"InstLine" : 11,
|
||||
>>>>>>> 15916a2c534beff06a16239dd4912b40b7f837b6
|
||||
"InstLine" : 14,
|
||||
"InstName" : "s1",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/selector.v",
|
||||
"ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/selector.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "selector"
|
||||
}
|
||||
|
2
gowin/bttn/impl/temp/rtl_parser.resultZone.Identifier
Normal file
2
gowin/bttn/impl/temp/rtl_parser.resultZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
@ -2,63 +2,63 @@
|
||||
"Device" : "GW2A-18C",
|
||||
"Files" : [
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/logicUnit.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/logicUnit.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/opCode.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/opCode.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/selector.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/selector.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
|
||||
"Type" : "verilog"
|
||||
}
|
||||
],
|
||||
@ -66,7 +66,7 @@
|
||||
|
||||
],
|
||||
"LoopLimit" : 2000,
|
||||
"ResultFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/impl/temp/rtl_parser.result",
|
||||
"ResultFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/impl/temp/rtl_parser.result",
|
||||
"Top" : "",
|
||||
"VerilogStd" : "verilog_2001",
|
||||
"VhdlStd" : "vhdl_93"
|
||||
|
2
gowin/bttn/impl/temp/rtl_parser_arg.jsonZone.Identifier
Normal file
2
gowin/bttn/impl/temp/rtl_parser_arg.jsonZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
0
gowin/bttn/impl/temp/style.css
Normal file
0
gowin/bttn/impl/temp/style.css
Normal file
2
gowin/bttn/impl/temp/style.cssZone.Identifier
Normal file
2
gowin/bttn/impl/temp/style.cssZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
79
gowin/bttn/src/ALU.v
Normal file
79
gowin/bttn/src/ALU.v
Normal file
@ -0,0 +1,79 @@
|
||||
module ALU (
|
||||
input [3:0] A, B,
|
||||
input CarryIN,
|
||||
input [2:0] opCodeA,
|
||||
output [11:0] bcd,
|
||||
output CarryOUT, overflow
|
||||
);
|
||||
|
||||
// Supports: ADD[0], SUB[1], MULT[2], AND[4], OR[5], XOR[6]
|
||||
|
||||
wire [7:0] opCode8;
|
||||
wire [3:0] add_Y, sub_Y;
|
||||
wire [3:0] resultA, resultO, resultX, lUOutput1;
|
||||
wire [3:0] aUtemp1, aUtemp2, lUOutput2;
|
||||
wire [3:0] wireY, wireLA;
|
||||
wire [7:0] opwireM, wireM, Y;
|
||||
|
||||
opCode opCd (.A(opCodeA), .opCode(opCode8));
|
||||
|
||||
arithmeticUnit aU(.opCode(opCode8[1:0]), .A(A), .B(B), .CarryIN(CarryIN), .add_Y(add_Y), .sub_Y(sub_Y), .CarryOUT(CarryOUT), .overflow(overflow));
|
||||
logicUnit lU (.opCode(opCode8[6:4]), .A(A), .B(B), .resultA(resultA), .resultO(resultO), .resultX(resultX));
|
||||
multiplier mU (.A(A), .B(B), .Y(opwireM));
|
||||
|
||||
or o01 (lUOutput1[0], resultA[0], resultO[0]);
|
||||
or o02 (lUOutput1[1], resultA[1], resultO[1]);
|
||||
or o03 (lUOutput1[2], resultA[2], resultO[2]);
|
||||
or o04 (lUOutput1[3], resultA[3], resultO[3]);
|
||||
|
||||
or o11 (lUOutput2[0], lUOutput1[0], resultX[0]);
|
||||
or o12 (lUOutput2[1], lUOutput1[1], resultX[1]);
|
||||
or o13 (lUOutput2[2], lUOutput1[2], resultX[2]);
|
||||
or o14 (lUOutput2[3], lUOutput1[3], resultX[3]);
|
||||
|
||||
|
||||
and a01 (aUtemp1[0], opCode8[0], add_Y[0]);
|
||||
and a02 (aUtemp1[1], opCode8[0], add_Y[1]);
|
||||
and a03 (aUtemp1[2], opCode8[0], add_Y[2]);
|
||||
and a04 (aUtemp1[3], opCode8[0], add_Y[3]);
|
||||
|
||||
|
||||
and a11 (aUtemp2[0], opCode8[1], sub_Y[0]);
|
||||
and a12 (aUtemp2[1], opCode8[1], sub_Y[1]);
|
||||
and a13 (aUtemp2[2], opCode8[1], sub_Y[2]);
|
||||
and a14 (aUtemp2[3], opCode8[1], sub_Y[3]);
|
||||
|
||||
and a21 (wireM[0], opCode8[2], opwireM[0]);
|
||||
and a22 (wireM[1], opCode8[2], opwireM[1]);
|
||||
and a23 (wireM[2], opCode8[2], opwireM[2]);
|
||||
and a24 (wireM[3], opCode8[2], opwireM[3]);
|
||||
and a25 (wireM[4], opCode8[2], opwireM[4]);
|
||||
and a26 (wireM[5], opCode8[2], opwireM[5]);
|
||||
and a27 (wireM[6], opCode8[2], opwireM[6]);
|
||||
and a28 (wireM[7], opCode8[2], opwireM[7]);
|
||||
|
||||
|
||||
or o21 (wireY[0], aUtemp1[0], aUtemp2[0]);
|
||||
or o22 (wireY[1], aUtemp1[1], aUtemp2[1]);
|
||||
or o23 (wireY[2], aUtemp1[2], aUtemp2[2]);
|
||||
or o24 (wireY[3], aUtemp1[3], aUtemp2[3]);
|
||||
|
||||
|
||||
or o1 (wireLA[0], lUOutput2[0], wireY[0]);
|
||||
or o2 (wireLA[1], lUOutput2[1], wireY[1]);
|
||||
or o3 (wireLA[2], lUOutput2[2], wireY[2]);
|
||||
or o4 (wireLA[3], lUOutput2[3], wireY[3]);
|
||||
|
||||
or o31 (Y[0], wireLA[0], wireM[0]);
|
||||
or o32 (Y[1], wireLA[1], wireM[1]);
|
||||
or o33 (Y[2], wireLA[2], wireM[2]);
|
||||
or o34 (Y[3], wireLA[3], wireM[3]);
|
||||
or o35 (Y[4], 1'b0, wireM[4]);
|
||||
or o36 (Y[5], 1'b0, wireM[5]);
|
||||
or o37 (Y[6], 1'b0, wireM[6]);
|
||||
or o38 (Y[7], 1'b0, wireM[7]);
|
||||
|
||||
BinaryToBCD btod1(.binary(Y), .bcd(bcd)); // WIRE Y BINARY!!!!
|
||||
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/ALU.vZone.Identifier
Normal file
2
gowin/bttn/src/ALU.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
79
gowin/bttn/src/BinaryToBCD.v
Normal file
79
gowin/bttn/src/BinaryToBCD.v
Normal file
@ -0,0 +1,79 @@
|
||||
module BinaryToBCD (
|
||||
input [7:0] binary,
|
||||
output [11:0] bcd
|
||||
);
|
||||
|
||||
wire empty1, empty2;
|
||||
wire [3:0] dab1, dab2, dab3, dab4, dab5;
|
||||
|
||||
and a111 (empty1, 1'b0, 1'b0);
|
||||
and a000 (empty2, 1'b0, 1'b0);
|
||||
and a222 (bcd[11], 1'b0, 1'b0);
|
||||
and a223 (bcd[10], 1'b0, 1'b0);
|
||||
|
||||
dabble d1t (.A((empty1)),
|
||||
.B(binary[7]),
|
||||
.C(binary[6]),
|
||||
.D(binary[5]),
|
||||
.X(dab1[0]),
|
||||
.Y(dab1[1]),
|
||||
.Z(dab1[2]),
|
||||
.E(dab1[3]));
|
||||
|
||||
dabble d2u (.A((dab1[1])),
|
||||
.B(dab1[2]),
|
||||
.C(dab1[3]),
|
||||
.D(binary[4]),
|
||||
.X(dab2[0]),
|
||||
.Y(dab2[1]),
|
||||
.Z(dab2[2]),
|
||||
.E(dab2[3]));
|
||||
|
||||
dabble d3v (.A((dab2[1])),
|
||||
.B(dab2[2]),
|
||||
.C(dab2[3]),
|
||||
.D(binary[3]),
|
||||
.X(dab3[0]),
|
||||
.Y(dab3[1]),
|
||||
.Z(dab3[2]),
|
||||
.E(dab3[3]));
|
||||
|
||||
dabble d4w (.A((empty2)),
|
||||
.B(dab1[0]),
|
||||
.C(dab2[0]),
|
||||
.D(dab3[0]),
|
||||
.X(bcd[9]),
|
||||
.Y(dab4[1]),
|
||||
.Z(dab4[2]),
|
||||
.E(dab4[3]));
|
||||
|
||||
dabble d5x (.A((dab3[1])),
|
||||
.B(dab3[2]),
|
||||
.C(dab3[3]),
|
||||
.D(binary[2]),
|
||||
.X(dab5[0]),
|
||||
.Y(dab5[1]),
|
||||
.Z(dab5[2]),
|
||||
.E(dab5[3]));
|
||||
|
||||
dabble d6y (.A((dab4[1])),
|
||||
.B(dab4[2]),
|
||||
.C(dab4[3]),
|
||||
.D(dab5[0]),
|
||||
.X(bcd[8]),
|
||||
.Y(bcd[7]),
|
||||
.Z(bcd[6]),
|
||||
.E(bcd[5]));
|
||||
|
||||
dabble d7z (.A((dab5[1])),
|
||||
.B(dab5[2]),
|
||||
.C(dab5[3]),
|
||||
.D(binary[1]),
|
||||
.X(bcd[4]),
|
||||
.Y(bcd[3]),
|
||||
.Z(bcd[2]),
|
||||
.E(bcd[1]));
|
||||
|
||||
or o1 (bcd[0], binary[0], 1'b0);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/BinaryToBCD.vZone.Identifier
Normal file
2
gowin/bttn/src/BinaryToBCD.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
20
gowin/bttn/src/addition.v
Normal file
20
gowin/bttn/src/addition.v
Normal file
@ -0,0 +1,20 @@
|
||||
module addition (
|
||||
input [3:0] A, B,
|
||||
input CarryIN,
|
||||
output [3:0] Y,
|
||||
output CarryOUT,
|
||||
output overflow
|
||||
);
|
||||
|
||||
wire [2:0] Carry4;
|
||||
|
||||
fulladder f0(.A(A[0]), .B(B[0]), .Carry(CarryIN), .Sum(Y[0]), .CarryO(Carry4[0]));
|
||||
fulladder f1(.A(A[1]), .B(B[1]), .Carry(Carry4[0]), .Sum(Y[1]), .CarryO(Carry4[1]));
|
||||
fulladder f2(.A(A[2]), .B(B[2]), .Carry(Carry4[1]), .Sum(Y[2]), .CarryO(Carry4[2]));
|
||||
fulladder f3(.A(A[3]), .B(B[3]), .Carry(Carry4[2]), .Sum(Y[3]), .CarryO(CarryOUT));
|
||||
|
||||
|
||||
//overflowDetect od1 (.opCode(2'b01), .A(A), .B(B), .Y(Y), .CarryOUT(CarryOUT), .overflowDetect(overflow)); (KULLANILMAYACAK!!!!)
|
||||
xor ov1 (overflow, Carry4[2], CarryOUT);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/addition.vZone.Identifier
Normal file
2
gowin/bttn/src/addition.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
33
gowin/bttn/src/arithmeticUnit.v
Normal file
33
gowin/bttn/src/arithmeticUnit.v
Normal file
@ -0,0 +1,33 @@
|
||||
module arithmeticUnit (
|
||||
input [1:0] opCode,
|
||||
input [3:0] A, B,
|
||||
input CarryIN,
|
||||
output [3:0] add_Y, sub_Y,
|
||||
output CarryOUT,
|
||||
output overflow
|
||||
);
|
||||
|
||||
wire [3:0] addY, subY;
|
||||
wire CarryOUTADD, CarryOUTSUB, tempCAdd, tempCSub, tempoverflow;
|
||||
|
||||
addition a1(.A(A), .B(B), .CarryIN(CarryIN), .Y(addY), .CarryOUT(CarryOUTADD), .overflow(tempoverflow));
|
||||
subtraction s1(.A(A), .B(B), .BorrowIN(CarryIN), .Y(subY), .BorrowOUT(CarryOUTSUB));
|
||||
|
||||
and add1 (add_Y[0], opCode[0], addY[0]);
|
||||
and add2 (add_Y[1], opCode[0], addY[1]);
|
||||
and add3 (add_Y[2], opCode[0], addY[2]);
|
||||
and add4 (add_Y[3], opCode[0], addY[3]);
|
||||
|
||||
and sub1 (sub_Y[0], opCode[1], subY[0]);
|
||||
and sub2 (sub_Y[1], opCode[1], subY[1]);
|
||||
and sub3 (sub_Y[2], opCode[1], subY[2]);
|
||||
and sub4 (sub_Y[3], opCode[1], subY[3]);
|
||||
|
||||
// or or1 (CarryOUT, CarryOUTADD, CarryOUTSUB); (OLD!!!)
|
||||
and and10 (tempCSub, CarryOUTSUB, opCode[1]);
|
||||
and and11 (tempCAdd, CarryOUTADD, opCode[0]);
|
||||
or or4 (CarryOUT, tempCAdd, tempCSub);
|
||||
|
||||
and add12 (overflow, opCode[0], tempoverflow);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/arithmeticUnit.vZone.Identifier
Normal file
2
gowin/bttn/src/arithmeticUnit.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
2191
gowin/bttn/src/bttn
Normal file
2191
gowin/bttn/src/bttn
Normal file
File diff suppressed because it is too large
Load Diff
65
gowin/bttn/src/bttn.cst
Normal file
65
gowin/bttn/src/bttn.cst
Normal file
@ -0,0 +1,65 @@
|
||||
//Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
//All rights reserved.
|
||||
//File Title: Physical Constraints file
|
||||
//Tool Version: V1.9.9.03 Education (64-bit)
|
||||
//Part Number: GW2A-LV18PG256C8/I7
|
||||
//Device: GW2A-18
|
||||
//Device Version: C
|
||||
//Created Time: Mon 01 20 17:48:00 2025
|
||||
|
||||
IO_LOC "Y[11]" B12;
|
||||
IO_PORT "Y[11]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[10]" B13;
|
||||
IO_PORT "Y[10]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[9]" B14;
|
||||
IO_PORT "Y[9]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[8]" D14;
|
||||
IO_PORT "Y[8]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[7]" J14;
|
||||
IO_PORT "Y[7]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[6]" M14;
|
||||
IO_PORT "Y[6]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[5]" T12;
|
||||
IO_PORT "Y[5]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[4]" T11;
|
||||
IO_PORT "Y[4]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[3]" P9;
|
||||
IO_PORT "Y[3]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[2]" P8;
|
||||
IO_PORT "Y[2]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[1]" T7;
|
||||
IO_PORT "Y[1]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Y[0]" P6;
|
||||
IO_PORT "Y[0]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "leds[1]" L14;
|
||||
IO_PORT "leds[1]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "leds[0]" L16;
|
||||
IO_PORT "leds[0]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "Cin" E9;
|
||||
IO_PORT "Cin" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
IO_LOC "select[1]" A14;
|
||||
IO_PORT "select[1]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
IO_LOC "select[0]" A15;
|
||||
IO_PORT "select[0]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
IO_LOC "opCodeA[2]" E8;
|
||||
IO_PORT "opCodeA[2]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
IO_LOC "opCodeA[1]" T4;
|
||||
IO_PORT "opCodeA[1]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
IO_LOC "opCodeA[0]" T5;
|
||||
IO_PORT "opCodeA[0]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
IO_LOC "B[3]" N8;
|
||||
IO_PORT "B[3]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
||||
IO_LOC "B[2]" N7;
|
||||
IO_PORT "B[2]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
||||
IO_LOC "B[1]" D11;
|
||||
IO_PORT "B[1]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
||||
IO_LOC "B[0]" B11;
|
||||
IO_PORT "B[0]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
||||
IO_LOC "A[3]" L9;
|
||||
IO_PORT "A[3]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
||||
IO_LOC "A[2]" E15;
|
||||
IO_PORT "A[2]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
||||
IO_LOC "A[1]" N6;
|
||||
IO_PORT "A[1]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
||||
IO_LOC "A[0]" A11;
|
||||
IO_PORT "A[0]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
|
2
gowin/bttn/src/bttn.cstZone.Identifier
Normal file
2
gowin/bttn/src/bttn.cstZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
19
gowin/bttn/src/bttn.v
Normal file
19
gowin/bttn/src/bttn.v
Normal file
@ -0,0 +1,19 @@
|
||||
module bttn (
|
||||
input [3:0] A, B,
|
||||
input [2:0] opCodeA,
|
||||
input [1:0] select,
|
||||
input Cin,
|
||||
output [1:0] leds,
|
||||
output [11:0] Y
|
||||
);
|
||||
|
||||
|
||||
wire wire1, wire2;
|
||||
wire [11:0] selectY;
|
||||
ALU a1(.A(A), .B(B), .opCodeA(opCodeA), .CarryIN(Cin), .bcd(selectY), .CarryOUT(wire2), .overflow(wire1));
|
||||
selector s1(.A(A), .B(B), .opCodeA(opCodeA), .select(select), .ALUY(selectY), .Y(Y));
|
||||
|
||||
assign leds[0] = ~wire1;
|
||||
assign leds[1] = ~wire2;
|
||||
|
||||
endmodule
|
1103
gowin/bttn/src/bttn.vcd
Normal file
1103
gowin/bttn/src/bttn.vcd
Normal file
File diff suppressed because it is too large
Load Diff
2
gowin/bttn/src/bttn.vcdZone.Identifier
Normal file
2
gowin/bttn/src/bttn.vcdZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
2
gowin/bttn/src/bttn.vZone.Identifier
Normal file
2
gowin/bttn/src/bttn.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
23
gowin/bttn/src/bttnTB.v
Normal file
23
gowin/bttn/src/bttnTB.v
Normal file
@ -0,0 +1,23 @@
|
||||
module bttnTB();
|
||||
|
||||
reg [3:0] A,B;
|
||||
reg [2:0] opCodeA;
|
||||
reg [1:0] select;
|
||||
wire [11:0] Y;
|
||||
|
||||
bttn uut (
|
||||
.A(A),
|
||||
.B(B),
|
||||
.opCodeA(opCodeA),
|
||||
.select(select),
|
||||
.Y(Y)
|
||||
);
|
||||
|
||||
initial begin
|
||||
$dumpfile("bttn.vcd");
|
||||
$dumpvars;
|
||||
A = 4'b0001; B = 4'b0110; opCodeA = 3'b000; select = 2'b01; #5;
|
||||
$finish;
|
||||
end
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/bttnTB.vZone.Identifier
Normal file
2
gowin/bttn/src/bttnTB.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
2
gowin/bttn/src/bttnZone.Identifier
Normal file
2
gowin/bttn/src/bttnZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
22
gowin/bttn/src/dabble.v
Normal file
22
gowin/bttn/src/dabble.v
Normal file
@ -0,0 +1,22 @@
|
||||
module dabble (
|
||||
input A, B, C, D,
|
||||
output X, Y, Z, E
|
||||
);
|
||||
|
||||
wire xor1, nor1, xor2, nor2, nor3, or1;
|
||||
|
||||
xor xo1 (xor1, A, D);
|
||||
nor no1 (nor1, A, B);
|
||||
xor xo2 (xor2, A, C);
|
||||
|
||||
nor no2 (nor2, xor1, xor2);
|
||||
|
||||
nor no3 (nor3, nor2, nor1);
|
||||
buf bu1 (X, nor3);
|
||||
or o1 (or1, xor1, nor1);
|
||||
|
||||
nor no4 (Y, or1, C);
|
||||
and an1 (Z, or1, xor2);
|
||||
xor xo3 (E, nor3, D);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/dabble.vZone.Identifier
Normal file
2
gowin/bttn/src/dabble.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
12
gowin/bttn/src/fulladder.v
Normal file
12
gowin/bttn/src/fulladder.v
Normal file
@ -0,0 +1,12 @@
|
||||
module fulladder (
|
||||
input A, B, Carry,
|
||||
output Sum, CarryO
|
||||
);
|
||||
|
||||
wire xor1, and1, and2;
|
||||
|
||||
halfadder h1(.A(A), .B(B), .Sum(xor1), .Carry(and1));
|
||||
halfadder h2 (.A(xor1), .B(Carry), .Sum(Sum), .Carry(and2));
|
||||
or o1 (CarryO, and1, and2);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/fulladder.vZone.Identifier
Normal file
2
gowin/bttn/src/fulladder.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
12
gowin/bttn/src/fullsubtraction.v
Normal file
12
gowin/bttn/src/fullsubtraction.v
Normal file
@ -0,0 +1,12 @@
|
||||
module fullsubtraction (
|
||||
input A, B, BorrowIN,
|
||||
output Difference, BorrowOut
|
||||
);
|
||||
|
||||
wire tempD, tempB1, tempB2;
|
||||
|
||||
halfsubtraction hf1(.A(A), .B(B), .Difference(tempD), .Borrow(tempB1));
|
||||
halfsubtraction hf2(.A(tempD), .B(BorrowIN), .Difference(Difference), .Borrow(tempB2));
|
||||
or o1 (BorrowOut, tempB1, tempB2);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/fullsubtraction.vZone.Identifier
Normal file
2
gowin/bttn/src/fullsubtraction.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
9
gowin/bttn/src/halfadder.v
Normal file
9
gowin/bttn/src/halfadder.v
Normal file
@ -0,0 +1,9 @@
|
||||
module halfadder (
|
||||
input A, B,
|
||||
output Sum, Carry
|
||||
);
|
||||
|
||||
and a1 (Carry, A, B);
|
||||
xor xo1 (Sum, A, B);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/halfadder.vZone.Identifier
Normal file
2
gowin/bttn/src/halfadder.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
12
gowin/bttn/src/halfsubtraction.v
Normal file
12
gowin/bttn/src/halfsubtraction.v
Normal file
@ -0,0 +1,12 @@
|
||||
module halfsubtraction (
|
||||
input A, B,
|
||||
output Difference, Borrow
|
||||
);
|
||||
|
||||
wire notA;
|
||||
|
||||
xor xo1 (Difference, A, B);
|
||||
not a1 (notA, A);
|
||||
and an1 (Borrow, notA, B);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/halfsubtraction.vZone.Identifier
Normal file
2
gowin/bttn/src/halfsubtraction.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
39
gowin/bttn/src/logicUnit.v
Normal file
39
gowin/bttn/src/logicUnit.v
Normal file
@ -0,0 +1,39 @@
|
||||
module logicUnit (
|
||||
input [2:0] opCode,
|
||||
input [3:0] A, B,
|
||||
output [3:0] resultA, resultO, resultX
|
||||
);
|
||||
|
||||
wire [3:0] and1, or1, xor1;
|
||||
|
||||
and a01 (and1[0], A[0], B[0]);
|
||||
and a02 (and1[1], A[1], B[1]);
|
||||
and a03 (and1[2], A[2], B[2]);
|
||||
and a04 (and1[3], A[3], B[3]);
|
||||
|
||||
or o01 (or1[0], A[0], B[0]);
|
||||
or o02 (or1[1], A[1], B[1]);
|
||||
or o03 (or1[2], A[2], B[2]);
|
||||
or o04 (or1[3], A[3], B[3]);
|
||||
|
||||
xor xor01 (xor1[0], A[0], B[0]);
|
||||
xor xor02 (xor1[1], A[1], B[1]);
|
||||
xor xor03 (xor1[2], A[2], B[2]);
|
||||
xor xor04 (xor1[3], A[3], B[3]);
|
||||
|
||||
and a_o1 (resultA[0], opCode[0], and1[0]);
|
||||
and a_o2 (resultA[1], opCode[0], and1[1]);
|
||||
and a_o3 (resultA[2], opCode[0], and1[2]);
|
||||
and a_o4 (resultA[3], opCode[0], and1[3]);
|
||||
|
||||
and o_o1 (resultO[0], opCode[1], or1[0]);
|
||||
and o_o2 (resultO[1], opCode[1], or1[1]);
|
||||
and o_o3 (resultO[2], opCode[1], or1[2]);
|
||||
and o_o4 (resultO[3], opCode[1], or1[3]);
|
||||
|
||||
and x_o1 (resultX[0], opCode[2], xor1[0]);
|
||||
and x_o2 (resultX[1], opCode[2], xor1[1]);
|
||||
and x_o3 (resultX[2], opCode[2], xor1[2]);
|
||||
and x_o4 (resultX[3], opCode[2], xor1[3]);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/logicUnit.vZone.Identifier
Normal file
2
gowin/bttn/src/logicUnit.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
76
gowin/bttn/src/multiplier.v
Normal file
76
gowin/bttn/src/multiplier.v
Normal file
@ -0,0 +1,76 @@
|
||||
module multiplier (
|
||||
input [3:0] A, B,
|
||||
output [7:0] Y
|
||||
);
|
||||
|
||||
wire [3:0] b0, a0, a1, a2;
|
||||
wire [4:0] S0, S1, S2;
|
||||
wire carry0, carry1, carry2;
|
||||
wire overflow0, overflow1, overflow2;
|
||||
|
||||
// Partial product generation
|
||||
and (Y[0], A[0], B[0]); // LSB of the result
|
||||
|
||||
// Generate partial products for B[0] and B[1]
|
||||
and ab00 (b0[0], A[1], B[0]);
|
||||
and ab01 (b0[1], A[2], B[0]);
|
||||
and ab02 (b0[2], A[3], B[0]);
|
||||
not ab03 (b0[3], 1'b1); // Initialize b0[3] to 0
|
||||
|
||||
and aa00 (a0[0], A[0], B[1]);
|
||||
and aa01 (a0[1], A[1], B[1]);
|
||||
and aa02 (a0[2], A[2], B[1]);
|
||||
and aa03 (a0[3], A[3], B[1]);
|
||||
|
||||
// First addition
|
||||
addition add0 (
|
||||
.A(a0),
|
||||
.B(b0),
|
||||
.CarryIN(1'b0),
|
||||
.Y(S0[3:0]),
|
||||
.CarryOUT(S0[4]),
|
||||
.overflow(overflow0)
|
||||
);
|
||||
|
||||
// Generate partial products for B[2]
|
||||
and aa10 (a1[0], A[0], B[2]);
|
||||
and aa11 (a1[1], A[1], B[2]);
|
||||
and aa12 (a1[2], A[2], B[2]);
|
||||
and aa13 (a1[3], A[3], B[2]);
|
||||
|
||||
// Second addition
|
||||
addition add1 (
|
||||
.A(a1),
|
||||
.B(S0[4:1]),
|
||||
.CarryIN(1'b0),
|
||||
.Y(S1[3:0]),
|
||||
.CarryOUT(S1[4]),
|
||||
.overflow(overflow1)
|
||||
);
|
||||
|
||||
// Generate partial products for B[3]
|
||||
and aa20 (a2[0], A[0], B[3]);
|
||||
and aa21 (a2[1], A[1], B[3]);
|
||||
and aa22 (a2[2], A[2], B[3]);
|
||||
and aa23 (a2[3], A[3], B[3]);
|
||||
|
||||
// Third addition
|
||||
addition add2 (
|
||||
.A(a2),
|
||||
.B(S1[4:1]),
|
||||
.CarryIN(1'b0),
|
||||
.Y(S2[3:0]),
|
||||
.CarryOUT(S2[4]),
|
||||
.overflow(overflow2)
|
||||
);
|
||||
|
||||
// Combine results into the final output Y
|
||||
or o01 (Y[1], S0[0], 1'b0);
|
||||
or o02 (Y[2], S1[0], 1'b0);
|
||||
or o03 (Y[3], S2[0], 1'b0);
|
||||
or o04 (Y[4], S2[1], 1'b0);
|
||||
or o05 (Y[5], S2[2], 1'b0);
|
||||
or o06 (Y[6], S2[3], 1'b0);
|
||||
or o07 (Y[7], S2[4], 1'b0);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/multiplier.vZone.Identifier
Normal file
2
gowin/bttn/src/multiplier.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
25
gowin/bttn/src/opCode.v
Normal file
25
gowin/bttn/src/opCode.v
Normal file
@ -0,0 +1,25 @@
|
||||
module opCode (
|
||||
input [2:0] A,
|
||||
output [7:0] opCode
|
||||
);
|
||||
wire and1, and2, and3, and4, notA, notB, notC;
|
||||
|
||||
not n1(notA, A[2]);
|
||||
not n2(notB, A[1]);
|
||||
not n3(notC, A[0]);
|
||||
|
||||
and a01(and1, A[2], A[1]);
|
||||
and a02(and2, notA, A[1]);
|
||||
and a03(and3, A[2], notB);
|
||||
and a04(and4, notA, notB);
|
||||
|
||||
and a1(opCode[0], and4, notC);
|
||||
and a2(opCode[1], and4, A[0]);
|
||||
and a3(opCode[2], and2, notC);
|
||||
and a4(opCode[3], and2, A[0]);
|
||||
and a5(opCode[4], and3, notC);
|
||||
and a6(opCode[5], and3, A[0]);
|
||||
and a7(opCode[6], and1, notC);
|
||||
and a8(opCode[7], and1, A[0]);
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/opCode.vZone.Identifier
Normal file
2
gowin/bttn/src/opCode.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
20
gowin/bttn/src/selector.v
Normal file
20
gowin/bttn/src/selector.v
Normal file
@ -0,0 +1,20 @@
|
||||
module selector (
|
||||
input [3:0] A,
|
||||
input [3:0] B,
|
||||
input [2:0] opCodeA,
|
||||
input [1:0] select,
|
||||
input [11:0] ALUY,
|
||||
output reg [11:0] Y
|
||||
);
|
||||
|
||||
always @(*) begin
|
||||
case (select)
|
||||
2'b00: Y = {8'b00000000, A}; // Zero-extend A to 8 bits
|
||||
2'b01: Y = {8'b00000000, B}; // Zero-extend B to 8 bits
|
||||
2'b10: Y = {9'b000000000, opCodeA}; // Zero-extend opCodeA to 8 bits
|
||||
2'b11: Y = ALUY; // Directly assign ALUY
|
||||
default: Y = ALUY; // Default case for safety
|
||||
endcase
|
||||
end
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/selector.vZone.Identifier
Normal file
2
gowin/bttn/src/selector.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
16
gowin/bttn/src/subtraction.v
Normal file
16
gowin/bttn/src/subtraction.v
Normal file
@ -0,0 +1,16 @@
|
||||
module subtraction (
|
||||
input [3:0] A, B,
|
||||
input BorrowIN,
|
||||
output [3:0] Y,
|
||||
output BorrowOUT //Overflow signal'ini yani negatif gonderecek
|
||||
);
|
||||
|
||||
wire [3:0] tempB;
|
||||
|
||||
// Full Subtraction logic for each bit (borrow-in for each subsequent bit)
|
||||
fullsubtraction f0 (.A(A[0]), .B(B[0]), .BorrowIN(BorrowIN), .Difference(Y[0]), .BorrowOut(tempB[0]));
|
||||
fullsubtraction f1 (.A(A[1]), .B(B[1]), .BorrowIN(tempB[0]), .Difference(Y[1]), .BorrowOut(tempB[1]));
|
||||
fullsubtraction f2 (.A(A[2]), .B(B[2]), .BorrowIN(tempB[1]), .Difference(Y[2]), .BorrowOut(tempB[2]));
|
||||
fullsubtraction f3 (.A(A[3]), .B(B[3]), .BorrowIN(tempB[2]), .Difference(Y[3]), .BorrowOut(BorrowOUT));
|
||||
|
||||
endmodule
|
2
gowin/bttn/src/subtraction.vZone.Identifier
Normal file
2
gowin/bttn/src/subtraction.vZone.Identifier
Normal file
@ -0,0 +1,2 @@
|
||||
[ZoneTransfer]
|
||||
ZoneId=3
|
Loading…
x
Reference in New Issue
Block a user