verilog/iverilog/tobb/lab5/knightRiderTB.v
2025-01-25 08:00:40 +03:00

23 lines
252 B
Verilog

module knightRiderTB();
reg clk;
wire [7:0] leds;
knightRider uut (
.clk(clk),
.leds(leds)
);
always begin
clk = ~clk; #2;
end
initial begin
$dumpfile("knightRider.vcd");
$dumpvars;
clk = 0; #50;
$finish;
end
endmodule