diff --git a/project0.2/ALU b/project0.2/ALU index d30d935..2ad2939 100644 --- a/project0.2/ALU +++ b/project0.2/ALU @@ -7,300 +7,301 @@ :vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi"; :vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi"; :vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi"; -S_0x556a362243c0 .scope module, "ALUTB" "ALUTB" 2 1; +S_0x559bc9d9f690 .scope module, "ALUTB" "ALUTB" 2 1; .timescale 0 0; -v0x556a362f05a0_0 .var "A", 3 0; -v0x556a362f0680_0 .var "B", 3 0; -v0x556a362f0740_0 .var "CarryIN", 0 0; -v0x556a362f0810_0 .net "CarryOUT", 0 0, L_0x556a362f8ba0; 1 drivers -v0x556a362f08b0_0 .net "Y", 7 0, L_0x556a363155a0; 1 drivers -v0x556a362f09a0_0 .var "opCodeA", 2 0; -v0x556a362f0a90_0 .net "overflow", 0 0, L_0x556a362f8c10; 1 drivers -S_0x556a36224760 .scope module, "uut" "ALU" 2 9, 3 1 0, S_0x556a362243c0; +v0x559bc9e8c570_0 .var "A", 3 0; +v0x559bc9e8c650_0 .var "B", 3 0; +v0x559bc9e8c710_0 .var "CarryIN", 0 0; +v0x559bc9e8c7e0_0 .net "CarryOUT", 0 0, L_0x559bc9e94b80; 1 drivers +v0x559bc9e8c880_0 .net "bcd", 11 0, L_0x559bc9eb7b80; 1 drivers +v0x559bc9e8c9c0_0 .var "opCodeA", 2 0; +v0x559bc9e8cad0_0 .net "overflow", 0 0, L_0x559bc9e94bf0; 1 drivers +S_0x559bc9e41bb0 .scope module, "uut" "ALU" 2 9, 3 1 0, S_0x559bc9d9f690; .timescale 0 0; .port_info 0 /INPUT 4 "A"; .port_info 1 /INPUT 4 "B"; .port_info 2 /INPUT 1 "CarryIN"; .port_info 3 /INPUT 3 "opCodeA"; - .port_info 4 /OUTPUT 8 "Y"; + .port_info 4 /OUTPUT 12 "bcd"; .port_info 5 /OUTPUT 1 "CarryOUT"; .port_info 6 /OUTPUT 1 "overflow"; -L_0x556a3630a940 .functor OR 1, L_0x556a3630a9b0, L_0x556a3630aaa0, C4<0>, C4<0>; -L_0x556a3630ab90 .functor OR 1, L_0x556a3630ac00, L_0x556a3630ad80, C4<0>, C4<0>; -L_0x556a3630aeb0 .functor OR 1, L_0x556a3630af20, L_0x556a3630afc0, C4<0>, C4<0>; -L_0x556a3630b290 .functor OR 1, L_0x556a3630b350, L_0x556a3630b4a0, C4<0>, C4<0>; -L_0x556a3630b540 .functor OR 1, L_0x556a3630b5b0, L_0x556a3630b760, C4<0>, C4<0>; -L_0x556a3630b850 .functor OR 1, L_0x556a3630b8c0, L_0x556a3630ba30, C4<0>, C4<0>; -L_0x556a3630b6f0 .functor OR 1, L_0x556a3630bbb0, L_0x556a3630bd70, C4<0>, C4<0>; -L_0x556a3630c040 .functor OR 1, L_0x556a3630c150, L_0x556a3630c240, C4<0>, C4<0>; -L_0x556a3630c3e0 .functor AND 1, L_0x556a3630bfa0, L_0x556a3630c4a0, C4<1>, C4<1>; -L_0x556a3630c650 .functor AND 1, L_0x556a3630c6c0, L_0x556a3630c760, C4<1>, C4<1>; -L_0x556a3630c920 .functor AND 1, L_0x556a3630c990, L_0x556a3630ca30, C4<1>, C4<1>; -L_0x556a3630cd90 .functor AND 1, L_0x556a3630cec0, L_0x556a3630d0a0, C4<1>, C4<1>; -L_0x556a3630d190 .functor AND 1, L_0x556a3630d200, L_0x556a3630d3f0, C4<1>, C4<1>; -L_0x556a3630d4e0 .functor AND 1, L_0x556a3630d5d0, L_0x556a3630d7d0, C4<1>, C4<1>; -L_0x556a3630ce50 .functor AND 1, L_0x556a3630d8c0, L_0x556a3630da80, C4<1>, C4<1>; -L_0x556a3630d960 .functor AND 1, L_0x556a3630dec0, L_0x556a3630df60, C4<1>, C4<1>; -L_0x556a3630e190 .functor AND 1, L_0x556a3630e200, L_0x556a3630e2f0, C4<1>, C4<1>; -L_0x556a3630e530 .functor AND 1, L_0x556a3630e640, L_0x556a3630e730, C4<1>, C4<1>; -L_0x556a3630e980 .functor AND 1, L_0x556a3630e9f0, L_0x556a3630eae0, C4<1>, C4<1>; -L_0x556a3630ed40 .functor AND 1, L_0x556a3630e5a0, L_0x556a3630eeb0, C4<1>, C4<1>; -L_0x556a3630f120 .functor AND 1, L_0x556a3630f190, L_0x556a3630f280, C4<1>, C4<1>; -L_0x556a3630f500 .functor AND 1, L_0x556a3630f630, L_0x556a3630f720, C4<1>, C4<1>; -L_0x556a3630f9b0 .functor AND 1, L_0x556a3630fa20, L_0x556a3630fb10, C4<1>, C4<1>; -L_0x556a363100d0 .functor AND 1, L_0x556a36310260, L_0x556a36310510, C4<1>, C4<1>; -L_0x556a36310600 .functor OR 1, L_0x556a36310670, L_0x556a36310980, C4<0>, C4<0>; -L_0x556a36310ac0 .functor OR 1, L_0x556a36310c10, L_0x556a36310ee0, C4<0>, C4<0>; -L_0x556a36310fd0 .functor OR 1, L_0x556a36311040, L_0x556a36311320, C4<0>, C4<0>; -L_0x556a36311790 .functor OR 1, L_0x556a36311940, L_0x556a36311a30, C4<0>, C4<0>; -L_0x556a36311d30 .functor OR 1, L_0x556a36311da0, L_0x556a36311ee0, C4<0>, C4<0>; -L_0x556a36312240 .functor OR 1, L_0x556a363123b0, L_0x556a363124a0, C4<0>, C4<0>; -L_0x556a363127c0 .functor OR 1, L_0x556a36312830, L_0x556a363129b0, C4<0>, C4<0>; -L_0x556a36312e60 .functor OR 1, L_0x556a36313030, L_0x556a36313370, C4<0>, C4<0>; -L_0x556a36313460 .functor OR 1, L_0x556a363134d0, L_0x556a36313870, C4<0>, C4<0>; -L_0x556a363139b0 .functor OR 1, L_0x556a36313b40, L_0x556a36313ea0, C4<0>, C4<0>; -L_0x556a36313f90 .functor OR 1, L_0x556a36314000, L_0x556a36314400, C4<0>, C4<0>; -L_0x556a36314530 .functor OR 1, L_0x556a36313a20, L_0x556a36314960, C4<0>, C4<0>; -L_0x7fa150a3f330 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36314a00 .functor OR 1, L_0x7fa150a3f330, L_0x556a36314ac0, C4<0>, C4<0>; -L_0x7fa150a3f378 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36314e50 .functor OR 1, L_0x7fa150a3f378, L_0x556a36315050, C4<0>, C4<0>; -L_0x7fa150a3f3c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36315140 .functor OR 1, L_0x7fa150a3f3c0, L_0x556a36315200, C4<0>, C4<0>; -L_0x7fa150a3f408 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36315910 .functor OR 1, L_0x7fa150a3f408, L_0x556a36315b70, C4<0>, C4<0>; -v0x556a362e7eb0_0 .net "A", 3 0, v0x556a362f05a0_0; 1 drivers -v0x556a362e7f70_0 .net "B", 3 0, v0x556a362f0680_0; 1 drivers -v0x556a362e8030_0 .net "CarryIN", 0 0, v0x556a362f0740_0; 1 drivers -v0x556a362e80d0_0 .net "CarryOUT", 0 0, L_0x556a362f8ba0; alias, 1 drivers -v0x556a362e81a0_0 .net "Y", 7 0, L_0x556a363155a0; alias, 1 drivers -v0x556a362e8240_0 .net *"_ivl_10", 0 0, L_0x556a3630ab90; 1 drivers -v0x556a362e8320_0 .net *"_ivl_101", 0 0, L_0x556a3630dec0; 1 drivers -v0x556a362e8400_0 .net *"_ivl_103", 0 0, L_0x556a3630df60; 1 drivers -v0x556a362e84e0_0 .net *"_ivl_104", 0 0, L_0x556a3630e190; 1 drivers -v0x556a362e85c0_0 .net *"_ivl_107", 0 0, L_0x556a3630e200; 1 drivers -v0x556a362e86a0_0 .net *"_ivl_109", 0 0, L_0x556a3630e2f0; 1 drivers -v0x556a362e8780_0 .net *"_ivl_110", 0 0, L_0x556a3630e530; 1 drivers -v0x556a362e8860_0 .net *"_ivl_113", 0 0, L_0x556a3630e640; 1 drivers -v0x556a362e8940_0 .net *"_ivl_115", 0 0, L_0x556a3630e730; 1 drivers -v0x556a362e8a20_0 .net *"_ivl_116", 0 0, L_0x556a3630e980; 1 drivers -v0x556a362e8b00_0 .net *"_ivl_119", 0 0, L_0x556a3630e9f0; 1 drivers -v0x556a362e8be0_0 .net *"_ivl_121", 0 0, L_0x556a3630eae0; 1 drivers -v0x556a362e8cc0_0 .net *"_ivl_122", 0 0, L_0x556a3630ed40; 1 drivers -v0x556a362e8da0_0 .net *"_ivl_125", 0 0, L_0x556a3630e5a0; 1 drivers -v0x556a362e8e80_0 .net *"_ivl_127", 0 0, L_0x556a3630eeb0; 1 drivers -v0x556a362e8f60_0 .net *"_ivl_128", 0 0, L_0x556a3630f120; 1 drivers -v0x556a362e9040_0 .net *"_ivl_13", 0 0, L_0x556a3630ac00; 1 drivers -v0x556a362e9120_0 .net *"_ivl_131", 0 0, L_0x556a3630f190; 1 drivers -v0x556a362e9200_0 .net *"_ivl_133", 0 0, L_0x556a3630f280; 1 drivers -v0x556a362e92e0_0 .net *"_ivl_134", 0 0, L_0x556a3630f500; 1 drivers -v0x556a362e93c0_0 .net *"_ivl_137", 0 0, L_0x556a3630f630; 1 drivers -v0x556a362e94a0_0 .net *"_ivl_139", 0 0, L_0x556a3630f720; 1 drivers -v0x556a362e9580_0 .net *"_ivl_140", 0 0, L_0x556a3630f9b0; 1 drivers -v0x556a362e9660_0 .net *"_ivl_143", 0 0, L_0x556a3630fa20; 1 drivers -v0x556a362e9740_0 .net *"_ivl_145", 0 0, L_0x556a3630fb10; 1 drivers -v0x556a362e9820_0 .net *"_ivl_146", 0 0, L_0x556a363100d0; 1 drivers -v0x556a362e9900_0 .net *"_ivl_15", 0 0, L_0x556a3630ad80; 1 drivers -v0x556a362e99e0_0 .net *"_ivl_150", 0 0, L_0x556a36310260; 1 drivers -v0x556a362e9ac0_0 .net *"_ivl_152", 0 0, L_0x556a36310510; 1 drivers -v0x556a362e9ba0_0 .net *"_ivl_153", 0 0, L_0x556a36310600; 1 drivers -v0x556a362e9c80_0 .net *"_ivl_156", 0 0, L_0x556a36310670; 1 drivers -v0x556a362e9d60_0 .net *"_ivl_158", 0 0, L_0x556a36310980; 1 drivers -v0x556a362e9e40_0 .net *"_ivl_159", 0 0, L_0x556a36310ac0; 1 drivers -v0x556a362e9f20_0 .net *"_ivl_16", 0 0, L_0x556a3630aeb0; 1 drivers -v0x556a362ea000_0 .net *"_ivl_162", 0 0, L_0x556a36310c10; 1 drivers -v0x556a362ea0e0_0 .net *"_ivl_164", 0 0, L_0x556a36310ee0; 1 drivers -v0x556a362ea1c0_0 .net *"_ivl_165", 0 0, L_0x556a36310fd0; 1 drivers -v0x556a362ea2a0_0 .net *"_ivl_168", 0 0, L_0x556a36311040; 1 drivers -v0x556a362ea380_0 .net *"_ivl_170", 0 0, L_0x556a36311320; 1 drivers -v0x556a362ea460_0 .net *"_ivl_171", 0 0, L_0x556a36311790; 1 drivers -v0x556a362ea540_0 .net *"_ivl_175", 0 0, L_0x556a36311940; 1 drivers -v0x556a362ea620_0 .net *"_ivl_177", 0 0, L_0x556a36311a30; 1 drivers -v0x556a362ea700_0 .net *"_ivl_178", 0 0, L_0x556a36311d30; 1 drivers -v0x556a362ea7e0_0 .net *"_ivl_181", 0 0, L_0x556a36311da0; 1 drivers -v0x556a362ea8c0_0 .net *"_ivl_183", 0 0, L_0x556a36311ee0; 1 drivers -v0x556a362ea9a0_0 .net *"_ivl_184", 0 0, L_0x556a36312240; 1 drivers -v0x556a362eaa80_0 .net *"_ivl_187", 0 0, L_0x556a363123b0; 1 drivers -v0x556a362eab60_0 .net *"_ivl_189", 0 0, L_0x556a363124a0; 1 drivers -v0x556a362eac40_0 .net *"_ivl_19", 0 0, L_0x556a3630af20; 1 drivers -v0x556a362ead20_0 .net *"_ivl_190", 0 0, L_0x556a363127c0; 1 drivers -v0x556a362eae00_0 .net *"_ivl_193", 0 0, L_0x556a36312830; 1 drivers -v0x556a362eaee0_0 .net *"_ivl_195", 0 0, L_0x556a363129b0; 1 drivers -v0x556a362eafc0_0 .net *"_ivl_196", 0 0, L_0x556a36312e60; 1 drivers -v0x556a362eb0a0_0 .net *"_ivl_200", 0 0, L_0x556a36313030; 1 drivers -v0x556a362eb180_0 .net *"_ivl_202", 0 0, L_0x556a36313370; 1 drivers -v0x556a362eb260_0 .net *"_ivl_203", 0 0, L_0x556a36313460; 1 drivers -v0x556a362eb340_0 .net *"_ivl_206", 0 0, L_0x556a363134d0; 1 drivers -v0x556a362eb420_0 .net *"_ivl_208", 0 0, L_0x556a36313870; 1 drivers -v0x556a362eb500_0 .net *"_ivl_209", 0 0, L_0x556a363139b0; 1 drivers -v0x556a362eb5e0_0 .net *"_ivl_21", 0 0, L_0x556a3630afc0; 1 drivers -v0x556a362ebad0_0 .net *"_ivl_212", 0 0, L_0x556a36313b40; 1 drivers -v0x556a362ebbb0_0 .net *"_ivl_214", 0 0, L_0x556a36313ea0; 1 drivers -v0x556a362ebc90_0 .net *"_ivl_215", 0 0, L_0x556a36313f90; 1 drivers -v0x556a362ebd70_0 .net *"_ivl_218", 0 0, L_0x556a36314000; 1 drivers -v0x556a362ebe50_0 .net *"_ivl_22", 0 0, L_0x556a3630b290; 1 drivers -v0x556a362ebf30_0 .net *"_ivl_220", 0 0, L_0x556a36314400; 1 drivers -v0x556a362ec010_0 .net *"_ivl_221", 0 0, L_0x556a36314530; 1 drivers -v0x556a362ec0f0_0 .net *"_ivl_224", 0 0, L_0x556a36313a20; 1 drivers -v0x556a362ec1d0_0 .net *"_ivl_226", 0 0, L_0x556a36314960; 1 drivers -v0x556a362ec2b0_0 .net *"_ivl_227", 0 0, L_0x556a36314a00; 1 drivers -v0x556a362ec390_0 .net/2u *"_ivl_229", 0 0, L_0x7fa150a3f330; 1 drivers -v0x556a362ec470_0 .net *"_ivl_232", 0 0, L_0x556a36314ac0; 1 drivers -v0x556a362ec550_0 .net *"_ivl_233", 0 0, L_0x556a36314e50; 1 drivers -v0x556a362ec630_0 .net/2u *"_ivl_235", 0 0, L_0x7fa150a3f378; 1 drivers -v0x556a362ec710_0 .net *"_ivl_238", 0 0, L_0x556a36315050; 1 drivers -v0x556a362ec7f0_0 .net *"_ivl_239", 0 0, L_0x556a36315140; 1 drivers -v0x556a362ec8d0_0 .net/2u *"_ivl_241", 0 0, L_0x7fa150a3f3c0; 1 drivers -v0x556a362ec9b0_0 .net *"_ivl_244", 0 0, L_0x556a36315200; 1 drivers -v0x556a362eca90_0 .net *"_ivl_245", 0 0, L_0x556a36315910; 1 drivers -v0x556a362ecb70_0 .net/2u *"_ivl_248", 0 0, L_0x7fa150a3f408; 1 drivers -v0x556a362ecc50_0 .net *"_ivl_251", 0 0, L_0x556a36315b70; 1 drivers -v0x556a362ecd30_0 .net *"_ivl_26", 0 0, L_0x556a3630b350; 1 drivers -v0x556a362ece10_0 .net *"_ivl_28", 0 0, L_0x556a3630b4a0; 1 drivers -v0x556a362ecef0_0 .net *"_ivl_29", 0 0, L_0x556a3630b540; 1 drivers -v0x556a362ecfd0_0 .net *"_ivl_32", 0 0, L_0x556a3630b5b0; 1 drivers -v0x556a362ed0b0_0 .net *"_ivl_34", 0 0, L_0x556a3630b760; 1 drivers -v0x556a362ed190_0 .net *"_ivl_35", 0 0, L_0x556a3630b850; 1 drivers -v0x556a362ed270_0 .net *"_ivl_38", 0 0, L_0x556a3630b8c0; 1 drivers -v0x556a362ed350_0 .net *"_ivl_4", 0 0, L_0x556a3630a940; 1 drivers -v0x556a362ed430_0 .net *"_ivl_40", 0 0, L_0x556a3630ba30; 1 drivers -v0x556a362ed510_0 .net *"_ivl_41", 0 0, L_0x556a3630b6f0; 1 drivers -v0x556a362ed5f0_0 .net *"_ivl_44", 0 0, L_0x556a3630bbb0; 1 drivers -v0x556a362ed6d0_0 .net *"_ivl_46", 0 0, L_0x556a3630bd70; 1 drivers -v0x556a362ed7b0_0 .net *"_ivl_47", 0 0, L_0x556a3630c040; 1 drivers -v0x556a362ed890_0 .net *"_ivl_51", 0 0, L_0x556a3630c150; 1 drivers -v0x556a362ed970_0 .net *"_ivl_53", 0 0, L_0x556a3630c240; 1 drivers -v0x556a362eda50_0 .net *"_ivl_54", 0 0, L_0x556a3630c3e0; 1 drivers -v0x556a362edb30_0 .net *"_ivl_57", 0 0, L_0x556a3630bfa0; 1 drivers -v0x556a362edc10_0 .net *"_ivl_59", 0 0, L_0x556a3630c4a0; 1 drivers -v0x556a362edcf0_0 .net *"_ivl_60", 0 0, L_0x556a3630c650; 1 drivers -v0x556a362eddd0_0 .net *"_ivl_63", 0 0, L_0x556a3630c6c0; 1 drivers -v0x556a362edeb0_0 .net *"_ivl_65", 0 0, L_0x556a3630c760; 1 drivers -v0x556a362edf90_0 .net *"_ivl_66", 0 0, L_0x556a3630c920; 1 drivers -v0x556a362ee070_0 .net *"_ivl_69", 0 0, L_0x556a3630c990; 1 drivers -v0x556a362ee150_0 .net *"_ivl_7", 0 0, L_0x556a3630a9b0; 1 drivers -v0x556a362ee230_0 .net *"_ivl_71", 0 0, L_0x556a3630ca30; 1 drivers -v0x556a362ee310_0 .net *"_ivl_72", 0 0, L_0x556a3630cd90; 1 drivers -v0x556a362ee3f0_0 .net *"_ivl_76", 0 0, L_0x556a3630cec0; 1 drivers -v0x556a362ee4d0_0 .net *"_ivl_78", 0 0, L_0x556a3630d0a0; 1 drivers -v0x556a362ee5b0_0 .net *"_ivl_79", 0 0, L_0x556a3630d190; 1 drivers -v0x556a362ee690_0 .net *"_ivl_82", 0 0, L_0x556a3630d200; 1 drivers -v0x556a362ee770_0 .net *"_ivl_84", 0 0, L_0x556a3630d3f0; 1 drivers -v0x556a362ee850_0 .net *"_ivl_85", 0 0, L_0x556a3630d4e0; 1 drivers -v0x556a362ee930_0 .net *"_ivl_88", 0 0, L_0x556a3630d5d0; 1 drivers -v0x556a362eea10_0 .net *"_ivl_9", 0 0, L_0x556a3630aaa0; 1 drivers -v0x556a362eeaf0_0 .net *"_ivl_90", 0 0, L_0x556a3630d7d0; 1 drivers -v0x556a362eebd0_0 .net *"_ivl_91", 0 0, L_0x556a3630ce50; 1 drivers -v0x556a362eecb0_0 .net *"_ivl_94", 0 0, L_0x556a3630d8c0; 1 drivers -v0x556a362eed90_0 .net *"_ivl_96", 0 0, L_0x556a3630da80; 1 drivers -v0x556a362eee70_0 .net *"_ivl_97", 0 0, L_0x556a3630d960; 1 drivers -v0x556a362eef50_0 .net "aUtemp1", 3 0, L_0x556a3630cc00; 1 drivers -v0x556a362ef030_0 .net "aUtemp2", 3 0, L_0x556a3630db70; 1 drivers -v0x556a362ef110_0 .net "add_Y", 3 0, L_0x556a362f7780; 1 drivers -v0x556a362ef1d0_0 .net "lUOutput1", 3 0, L_0x556a3630b100; 1 drivers -v0x556a362efaa0_0 .net "lUOutput2", 3 0, L_0x556a3630be10; 1 drivers -v0x556a362efb80_0 .net "opCode8", 7 0, L_0x556a362f2100; 1 drivers -v0x556a362efc70_0 .net "opCodeA", 2 0, v0x556a362f09a0_0; 1 drivers -v0x556a362efd40_0 .net "opwireM", 7 0, L_0x556a3630a3a0; 1 drivers -v0x556a362efe10_0 .net "overflow", 0 0, L_0x556a362f8c10; alias, 1 drivers -v0x556a362efee0_0 .net "resultA", 3 0, L_0x556a362fc090; 1 drivers -v0x556a362effb0_0 .net "resultO", 3 0, L_0x556a362fd210; 1 drivers -v0x556a362f0080_0 .net "resultX", 3 0, L_0x556a362fe470; 1 drivers -v0x556a362f0150_0 .net "sub_Y", 3 0, L_0x556a362f8500; 1 drivers -v0x556a362f0220_0 .net "wireLA", 3 0, L_0x556a36312d20; 1 drivers -v0x556a362f02c0_0 .net "wireM", 7 0, L_0x556a3630fdb0; 1 drivers -v0x556a362f03a0_0 .net "wireY", 3 0, L_0x556a36311450; 1 drivers -L_0x556a362f8ce0 .part L_0x556a362f2100, 0, 2; -L_0x556a362fece0 .part L_0x556a362f2100, 4, 3; -L_0x556a3630a9b0 .part L_0x556a362fc090, 0, 1; -L_0x556a3630aaa0 .part L_0x556a362fd210, 0, 1; -L_0x556a3630ac00 .part L_0x556a362fc090, 1, 1; -L_0x556a3630ad80 .part L_0x556a362fd210, 1, 1; -L_0x556a3630af20 .part L_0x556a362fc090, 2, 1; -L_0x556a3630afc0 .part L_0x556a362fd210, 2, 1; -L_0x556a3630b100 .concat8 [ 1 1 1 1], L_0x556a3630a940, L_0x556a3630ab90, L_0x556a3630aeb0, L_0x556a3630b290; -L_0x556a3630b350 .part L_0x556a362fc090, 3, 1; -L_0x556a3630b4a0 .part L_0x556a362fd210, 3, 1; -L_0x556a3630b5b0 .part L_0x556a3630b100, 0, 1; -L_0x556a3630b760 .part L_0x556a362fe470, 0, 1; -L_0x556a3630b8c0 .part L_0x556a3630b100, 1, 1; -L_0x556a3630ba30 .part L_0x556a362fe470, 1, 1; -L_0x556a3630bbb0 .part L_0x556a3630b100, 2, 1; -L_0x556a3630bd70 .part L_0x556a362fe470, 2, 1; -L_0x556a3630be10 .concat8 [ 1 1 1 1], L_0x556a3630b540, L_0x556a3630b850, L_0x556a3630b6f0, L_0x556a3630c040; -L_0x556a3630c150 .part L_0x556a3630b100, 3, 1; -L_0x556a3630c240 .part L_0x556a362fe470, 3, 1; -L_0x556a3630bfa0 .part L_0x556a362f2100, 0, 1; -L_0x556a3630c4a0 .part L_0x556a362f7780, 0, 1; -L_0x556a3630c6c0 .part L_0x556a362f2100, 0, 1; -L_0x556a3630c760 .part L_0x556a362f7780, 1, 1; -L_0x556a3630c990 .part L_0x556a362f2100, 0, 1; -L_0x556a3630ca30 .part L_0x556a362f7780, 2, 1; -L_0x556a3630cc00 .concat8 [ 1 1 1 1], L_0x556a3630c3e0, L_0x556a3630c650, L_0x556a3630c920, L_0x556a3630cd90; -L_0x556a3630cec0 .part L_0x556a362f2100, 0, 1; -L_0x556a3630d0a0 .part L_0x556a362f7780, 3, 1; -L_0x556a3630d200 .part L_0x556a362f2100, 1, 1; -L_0x556a3630d3f0 .part L_0x556a362f8500, 0, 1; -L_0x556a3630d5d0 .part L_0x556a362f2100, 1, 1; -L_0x556a3630d7d0 .part L_0x556a362f8500, 1, 1; -L_0x556a3630d8c0 .part L_0x556a362f2100, 1, 1; -L_0x556a3630da80 .part L_0x556a362f8500, 2, 1; -L_0x556a3630db70 .concat8 [ 1 1 1 1], L_0x556a3630d190, L_0x556a3630d4e0, L_0x556a3630ce50, L_0x556a3630d960; -L_0x556a3630dec0 .part L_0x556a362f2100, 1, 1; -L_0x556a3630df60 .part L_0x556a362f8500, 3, 1; -L_0x556a3630e200 .part L_0x556a362f2100, 2, 1; -L_0x556a3630e2f0 .part L_0x556a3630a3a0, 0, 1; -L_0x556a3630e640 .part L_0x556a362f2100, 2, 1; -L_0x556a3630e730 .part L_0x556a3630a3a0, 1, 1; -L_0x556a3630e9f0 .part L_0x556a362f2100, 2, 1; -L_0x556a3630eae0 .part L_0x556a3630a3a0, 2, 1; -L_0x556a3630e5a0 .part L_0x556a362f2100, 2, 1; -L_0x556a3630eeb0 .part L_0x556a3630a3a0, 3, 1; -L_0x556a3630f190 .part L_0x556a362f2100, 2, 1; -L_0x556a3630f280 .part L_0x556a3630a3a0, 4, 1; -L_0x556a3630f630 .part L_0x556a362f2100, 2, 1; -L_0x556a3630f720 .part L_0x556a3630a3a0, 5, 1; -L_0x556a3630fa20 .part L_0x556a362f2100, 2, 1; -L_0x556a3630fb10 .part L_0x556a3630a3a0, 6, 1; -LS_0x556a3630fdb0_0_0 .concat8 [ 1 1 1 1], L_0x556a3630e190, L_0x556a3630e530, L_0x556a3630e980, L_0x556a3630ed40; -LS_0x556a3630fdb0_0_4 .concat8 [ 1 1 1 1], L_0x556a3630f120, L_0x556a3630f500, L_0x556a3630f9b0, L_0x556a363100d0; -L_0x556a3630fdb0 .concat8 [ 4 4 0 0], LS_0x556a3630fdb0_0_0, LS_0x556a3630fdb0_0_4; -L_0x556a36310260 .part L_0x556a362f2100, 2, 1; -L_0x556a36310510 .part L_0x556a3630a3a0, 7, 1; -L_0x556a36310670 .part L_0x556a3630cc00, 0, 1; -L_0x556a36310980 .part L_0x556a3630db70, 0, 1; -L_0x556a36310c10 .part L_0x556a3630cc00, 1, 1; -L_0x556a36310ee0 .part L_0x556a3630db70, 1, 1; -L_0x556a36311040 .part L_0x556a3630cc00, 2, 1; -L_0x556a36311320 .part L_0x556a3630db70, 2, 1; -L_0x556a36311450 .concat8 [ 1 1 1 1], L_0x556a36310600, L_0x556a36310ac0, L_0x556a36310fd0, L_0x556a36311790; -L_0x556a36311940 .part L_0x556a3630cc00, 3, 1; -L_0x556a36311a30 .part L_0x556a3630db70, 3, 1; -L_0x556a36311da0 .part L_0x556a3630be10, 0, 1; -L_0x556a36311ee0 .part L_0x556a36311450, 0, 1; -L_0x556a363123b0 .part L_0x556a3630be10, 1, 1; -L_0x556a363124a0 .part L_0x556a36311450, 1, 1; -L_0x556a36312830 .part L_0x556a3630be10, 2, 1; -L_0x556a363129b0 .part L_0x556a36311450, 2, 1; -L_0x556a36312d20 .concat8 [ 1 1 1 1], L_0x556a36311d30, L_0x556a36312240, L_0x556a363127c0, L_0x556a36312e60; -L_0x556a36313030 .part L_0x556a3630be10, 3, 1; -L_0x556a36313370 .part L_0x556a36311450, 3, 1; -L_0x556a363134d0 .part L_0x556a36312d20, 0, 1; -L_0x556a36313870 .part L_0x556a3630fdb0, 0, 1; -L_0x556a36313b40 .part L_0x556a36312d20, 1, 1; -L_0x556a36313ea0 .part L_0x556a3630fdb0, 1, 1; -L_0x556a36314000 .part L_0x556a36312d20, 2, 1; -L_0x556a36314400 .part L_0x556a3630fdb0, 2, 1; -L_0x556a36313a20 .part L_0x556a36312d20, 3, 1; -L_0x556a36314960 .part L_0x556a3630fdb0, 3, 1; -L_0x556a36314ac0 .part L_0x556a3630fdb0, 4, 1; -L_0x556a36315050 .part L_0x556a3630fdb0, 5, 1; -L_0x556a36315200 .part L_0x556a3630fdb0, 6, 1; -LS_0x556a363155a0_0_0 .concat8 [ 1 1 1 1], L_0x556a36313460, L_0x556a363139b0, L_0x556a36313f90, L_0x556a36314530; -LS_0x556a363155a0_0_4 .concat8 [ 1 1 1 1], L_0x556a36314a00, L_0x556a36314e50, L_0x556a36315140, L_0x556a36315910; -L_0x556a363155a0 .concat8 [ 4 4 0 0], LS_0x556a363155a0_0_0, LS_0x556a363155a0_0_4; -L_0x556a36315b70 .part L_0x556a3630fdb0, 7, 1; -S_0x556a36224580 .scope module, "aU" "arithmeticUnit" 3 20, 4 1 0, S_0x556a36224760; +L_0x559bc9ea6b50 .functor OR 1, L_0x559bc9ea6bc0, L_0x559bc9ea6cb0, C4<0>, C4<0>; +L_0x559bc9ea6da0 .functor OR 1, L_0x559bc9ea6e10, L_0x559bc9ea6f90, C4<0>, C4<0>; +L_0x559bc9ea70c0 .functor OR 1, L_0x559bc9ea7130, L_0x559bc9ea71d0, C4<0>, C4<0>; +L_0x559bc9ea74a0 .functor OR 1, L_0x559bc9ea7560, L_0x559bc9ea7650, C4<0>, C4<0>; +L_0x559bc9ea76f0 .functor OR 1, L_0x559bc9ea7760, L_0x559bc9ea7910, C4<0>, C4<0>; +L_0x559bc9ea7a00 .functor OR 1, L_0x559bc9ea7a70, L_0x559bc9ea7be0, C4<0>, C4<0>; +L_0x559bc9ea78a0 .functor OR 1, L_0x559bc9ea7d60, L_0x559bc9ea7f20, C4<0>, C4<0>; +L_0x559bc9ea81f0 .functor OR 1, L_0x559bc9ea8300, L_0x559bc9ea83f0, C4<0>, C4<0>; +L_0x559bc9ea8590 .functor AND 1, L_0x559bc9ea8150, L_0x559bc9ea8650, C4<1>, C4<1>; +L_0x559bc9ea8800 .functor AND 1, L_0x559bc9ea8870, L_0x559bc9ea8910, C4<1>, C4<1>; +L_0x559bc9ea8ad0 .functor AND 1, L_0x559bc9ea8b40, L_0x559bc9ea8be0, C4<1>, C4<1>; +L_0x559bc9ea8f40 .functor AND 1, L_0x559bc9ea9070, L_0x559bc9ea9250, C4<1>, C4<1>; +L_0x559bc9ea9340 .functor AND 1, L_0x559bc9ea93b0, L_0x559bc9ea95a0, C4<1>, C4<1>; +L_0x559bc9ea9690 .functor AND 1, L_0x559bc9ea9780, L_0x559bc9ea9980, C4<1>, C4<1>; +L_0x559bc9ea9000 .functor AND 1, L_0x559bc9ea9a70, L_0x559bc9ea9c30, C4<1>, C4<1>; +L_0x559bc9ea9b10 .functor AND 1, L_0x559bc9eaa070, L_0x559bc9eaa110, C4<1>, C4<1>; +L_0x559bc9eaa340 .functor AND 1, L_0x559bc9eaa3b0, L_0x559bc9eaa4a0, C4<1>, C4<1>; +L_0x559bc9eaa6e0 .functor AND 1, L_0x559bc9eaa7f0, L_0x559bc9eaa8e0, C4<1>, C4<1>; +L_0x559bc9eaab30 .functor AND 1, L_0x559bc9eaaba0, L_0x559bc9eaac40, C4<1>, C4<1>; +L_0x559bc9eaaea0 .functor AND 1, L_0x559bc9eaa750, L_0x559bc9eab010, C4<1>, C4<1>; +L_0x559bc9eab280 .functor AND 1, L_0x559bc9eab2f0, L_0x559bc9eab3e0, C4<1>, C4<1>; +L_0x559bc9eab660 .functor AND 1, L_0x559bc9eab790, L_0x559bc9eab880, C4<1>, C4<1>; +L_0x559bc9eabb10 .functor AND 1, L_0x559bc9eabb80, L_0x559bc9eabc70, C4<1>, C4<1>; +L_0x559bc9eac230 .functor AND 1, L_0x559bc9eac3c0, L_0x559bc9eac670, C4<1>, C4<1>; +L_0x559bc9eac760 .functor OR 1, L_0x559bc9eac7d0, L_0x559bc9eacae0, C4<0>, C4<0>; +L_0x559bc9eacc20 .functor OR 1, L_0x559bc9eacd70, L_0x559bc9ead040, C4<0>, C4<0>; +L_0x559bc9ead130 .functor OR 1, L_0x559bc9ead1a0, L_0x559bc9ead480, C4<0>, C4<0>; +L_0x559bc9ead8f0 .functor OR 1, L_0x559bc9eadaa0, L_0x559bc9eadb90, C4<0>, C4<0>; +L_0x559bc9eade90 .functor OR 1, L_0x559bc9eadf00, L_0x559bc9eae040, C4<0>, C4<0>; +L_0x559bc9eae3a0 .functor OR 1, L_0x559bc9eae510, L_0x559bc9eae600, C4<0>, C4<0>; +L_0x559bc9eae920 .functor OR 1, L_0x559bc9eae990, L_0x559bc9eaeb10, C4<0>, C4<0>; +L_0x559bc9eaefc0 .functor OR 1, L_0x559bc9eaf190, L_0x559bc9eaf4d0, C4<0>, C4<0>; +L_0x559bc9eaf5c0 .functor OR 1, L_0x559bc9eaf630, L_0x559bc9eaf9d0, C4<0>, C4<0>; +L_0x559bc9eafb10 .functor OR 1, L_0x559bc9eafca0, L_0x559bc9eb0000, C4<0>, C4<0>; +L_0x559bc9eb00f0 .functor OR 1, L_0x559bc9eb0160, L_0x559bc9eb0560, C4<0>, C4<0>; +L_0x559bc9eb0690 .functor OR 1, L_0x559bc9eafb80, L_0x559bc9eb0ac0, C4<0>, C4<0>; +L_0x7f29537c6330 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb0b60 .functor OR 1, L_0x7f29537c6330, L_0x559bc9eb0c20, C4<0>, C4<0>; +L_0x7f29537c6378 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb0fb0 .functor OR 1, L_0x7f29537c6378, L_0x559bc9eb11b0, C4<0>, C4<0>; +L_0x7f29537c63c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb12a0 .functor OR 1, L_0x7f29537c63c0, L_0x559bc9eb1360, C4<0>, C4<0>; +L_0x7f29537c6408 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb1a70 .functor OR 1, L_0x7f29537c6408, L_0x559bc9eb1cd0, C4<0>, C4<0>; +v0x559bc9e83cb0_0 .net "A", 3 0, v0x559bc9e8c570_0; 1 drivers +v0x559bc9e83d70_0 .net "B", 3 0, v0x559bc9e8c650_0; 1 drivers +v0x559bc9e83e30_0 .net "CarryIN", 0 0, v0x559bc9e8c710_0; 1 drivers +v0x559bc9e83ed0_0 .net "CarryOUT", 0 0, L_0x559bc9e94b80; alias, 1 drivers +v0x559bc9e83fa0_0 .net "Y", 7 0, L_0x559bc9eb1700; 1 drivers +v0x559bc9e84040_0 .net *"_ivl_10", 0 0, L_0x559bc9ea6da0; 1 drivers +v0x559bc9e840e0_0 .net *"_ivl_101", 0 0, L_0x559bc9eaa070; 1 drivers +v0x559bc9e841c0_0 .net *"_ivl_103", 0 0, L_0x559bc9eaa110; 1 drivers +v0x559bc9e842a0_0 .net *"_ivl_104", 0 0, L_0x559bc9eaa340; 1 drivers +v0x559bc9e84380_0 .net *"_ivl_107", 0 0, L_0x559bc9eaa3b0; 1 drivers +v0x559bc9e84460_0 .net *"_ivl_109", 0 0, L_0x559bc9eaa4a0; 1 drivers +v0x559bc9e84540_0 .net *"_ivl_110", 0 0, L_0x559bc9eaa6e0; 1 drivers +v0x559bc9e84620_0 .net *"_ivl_113", 0 0, L_0x559bc9eaa7f0; 1 drivers +v0x559bc9e84700_0 .net *"_ivl_115", 0 0, L_0x559bc9eaa8e0; 1 drivers +v0x559bc9e847e0_0 .net *"_ivl_116", 0 0, L_0x559bc9eaab30; 1 drivers +v0x559bc9e848c0_0 .net *"_ivl_119", 0 0, L_0x559bc9eaaba0; 1 drivers +v0x559bc9e849a0_0 .net *"_ivl_121", 0 0, L_0x559bc9eaac40; 1 drivers +v0x559bc9e84b90_0 .net *"_ivl_122", 0 0, L_0x559bc9eaaea0; 1 drivers +v0x559bc9e84c70_0 .net *"_ivl_125", 0 0, L_0x559bc9eaa750; 1 drivers +v0x559bc9e84d50_0 .net *"_ivl_127", 0 0, L_0x559bc9eab010; 1 drivers +v0x559bc9e84e30_0 .net *"_ivl_128", 0 0, L_0x559bc9eab280; 1 drivers +v0x559bc9e84f10_0 .net *"_ivl_13", 0 0, L_0x559bc9ea6e10; 1 drivers +v0x559bc9e84ff0_0 .net *"_ivl_131", 0 0, L_0x559bc9eab2f0; 1 drivers +v0x559bc9e850d0_0 .net *"_ivl_133", 0 0, L_0x559bc9eab3e0; 1 drivers +v0x559bc9e851b0_0 .net *"_ivl_134", 0 0, L_0x559bc9eab660; 1 drivers +v0x559bc9e85290_0 .net *"_ivl_137", 0 0, L_0x559bc9eab790; 1 drivers +v0x559bc9e85370_0 .net *"_ivl_139", 0 0, L_0x559bc9eab880; 1 drivers +v0x559bc9e85450_0 .net *"_ivl_140", 0 0, L_0x559bc9eabb10; 1 drivers +v0x559bc9e85530_0 .net *"_ivl_143", 0 0, L_0x559bc9eabb80; 1 drivers +v0x559bc9e85610_0 .net *"_ivl_145", 0 0, L_0x559bc9eabc70; 1 drivers +v0x559bc9e856f0_0 .net *"_ivl_146", 0 0, L_0x559bc9eac230; 1 drivers +v0x559bc9e857d0_0 .net *"_ivl_15", 0 0, L_0x559bc9ea6f90; 1 drivers +v0x559bc9e858b0_0 .net *"_ivl_150", 0 0, L_0x559bc9eac3c0; 1 drivers +v0x559bc9e85990_0 .net *"_ivl_152", 0 0, L_0x559bc9eac670; 1 drivers +v0x559bc9e85a70_0 .net *"_ivl_153", 0 0, L_0x559bc9eac760; 1 drivers +v0x559bc9e85b50_0 .net *"_ivl_156", 0 0, L_0x559bc9eac7d0; 1 drivers +v0x559bc9e85c30_0 .net *"_ivl_158", 0 0, L_0x559bc9eacae0; 1 drivers +v0x559bc9e85d10_0 .net *"_ivl_159", 0 0, L_0x559bc9eacc20; 1 drivers +v0x559bc9e85df0_0 .net *"_ivl_16", 0 0, L_0x559bc9ea70c0; 1 drivers +v0x559bc9e85ed0_0 .net *"_ivl_162", 0 0, L_0x559bc9eacd70; 1 drivers +v0x559bc9e85fb0_0 .net *"_ivl_164", 0 0, L_0x559bc9ead040; 1 drivers +v0x559bc9e86090_0 .net *"_ivl_165", 0 0, L_0x559bc9ead130; 1 drivers +v0x559bc9e86170_0 .net *"_ivl_168", 0 0, L_0x559bc9ead1a0; 1 drivers +v0x559bc9e86250_0 .net *"_ivl_170", 0 0, L_0x559bc9ead480; 1 drivers +v0x559bc9e86330_0 .net *"_ivl_171", 0 0, L_0x559bc9ead8f0; 1 drivers +v0x559bc9e86410_0 .net *"_ivl_175", 0 0, L_0x559bc9eadaa0; 1 drivers +v0x559bc9e864f0_0 .net *"_ivl_177", 0 0, L_0x559bc9eadb90; 1 drivers +v0x559bc9e865d0_0 .net *"_ivl_178", 0 0, L_0x559bc9eade90; 1 drivers +v0x559bc9e866b0_0 .net *"_ivl_181", 0 0, L_0x559bc9eadf00; 1 drivers +v0x559bc9e86790_0 .net *"_ivl_183", 0 0, L_0x559bc9eae040; 1 drivers +v0x559bc9e86870_0 .net *"_ivl_184", 0 0, L_0x559bc9eae3a0; 1 drivers +v0x559bc9e86950_0 .net *"_ivl_187", 0 0, L_0x559bc9eae510; 1 drivers +v0x559bc9e86a30_0 .net *"_ivl_189", 0 0, L_0x559bc9eae600; 1 drivers +v0x559bc9e86b10_0 .net *"_ivl_19", 0 0, L_0x559bc9ea7130; 1 drivers +v0x559bc9e86bf0_0 .net *"_ivl_190", 0 0, L_0x559bc9eae920; 1 drivers +v0x559bc9e86cd0_0 .net *"_ivl_193", 0 0, L_0x559bc9eae990; 1 drivers +v0x559bc9e86db0_0 .net *"_ivl_195", 0 0, L_0x559bc9eaeb10; 1 drivers +v0x559bc9e86e90_0 .net *"_ivl_196", 0 0, L_0x559bc9eaefc0; 1 drivers +v0x559bc9e86f70_0 .net *"_ivl_200", 0 0, L_0x559bc9eaf190; 1 drivers +v0x559bc9e87050_0 .net *"_ivl_202", 0 0, L_0x559bc9eaf4d0; 1 drivers +v0x559bc9e87130_0 .net *"_ivl_203", 0 0, L_0x559bc9eaf5c0; 1 drivers +v0x559bc9e87210_0 .net *"_ivl_206", 0 0, L_0x559bc9eaf630; 1 drivers +v0x559bc9e872f0_0 .net *"_ivl_208", 0 0, L_0x559bc9eaf9d0; 1 drivers +v0x559bc9e873d0_0 .net *"_ivl_209", 0 0, L_0x559bc9eafb10; 1 drivers +v0x559bc9e874b0_0 .net *"_ivl_21", 0 0, L_0x559bc9ea71d0; 1 drivers +v0x559bc9e879a0_0 .net *"_ivl_212", 0 0, L_0x559bc9eafca0; 1 drivers +v0x559bc9e87a80_0 .net *"_ivl_214", 0 0, L_0x559bc9eb0000; 1 drivers +v0x559bc9e87b60_0 .net *"_ivl_215", 0 0, L_0x559bc9eb00f0; 1 drivers +v0x559bc9e87c40_0 .net *"_ivl_218", 0 0, L_0x559bc9eb0160; 1 drivers +v0x559bc9e87d20_0 .net *"_ivl_22", 0 0, L_0x559bc9ea74a0; 1 drivers +v0x559bc9e87e00_0 .net *"_ivl_220", 0 0, L_0x559bc9eb0560; 1 drivers +v0x559bc9e87ee0_0 .net *"_ivl_221", 0 0, L_0x559bc9eb0690; 1 drivers +v0x559bc9e87fc0_0 .net *"_ivl_224", 0 0, L_0x559bc9eafb80; 1 drivers +v0x559bc9e880a0_0 .net *"_ivl_226", 0 0, L_0x559bc9eb0ac0; 1 drivers +v0x559bc9e88180_0 .net *"_ivl_227", 0 0, L_0x559bc9eb0b60; 1 drivers +v0x559bc9e88260_0 .net/2u *"_ivl_229", 0 0, L_0x7f29537c6330; 1 drivers +v0x559bc9e88340_0 .net *"_ivl_232", 0 0, L_0x559bc9eb0c20; 1 drivers +v0x559bc9e88420_0 .net *"_ivl_233", 0 0, L_0x559bc9eb0fb0; 1 drivers +v0x559bc9e88500_0 .net/2u *"_ivl_235", 0 0, L_0x7f29537c6378; 1 drivers +v0x559bc9e885e0_0 .net *"_ivl_238", 0 0, L_0x559bc9eb11b0; 1 drivers +v0x559bc9e886c0_0 .net *"_ivl_239", 0 0, L_0x559bc9eb12a0; 1 drivers +v0x559bc9e887a0_0 .net/2u *"_ivl_241", 0 0, L_0x7f29537c63c0; 1 drivers +v0x559bc9e88880_0 .net *"_ivl_244", 0 0, L_0x559bc9eb1360; 1 drivers +v0x559bc9e88960_0 .net *"_ivl_245", 0 0, L_0x559bc9eb1a70; 1 drivers +v0x559bc9e88a40_0 .net/2u *"_ivl_248", 0 0, L_0x7f29537c6408; 1 drivers +v0x559bc9e88b20_0 .net *"_ivl_251", 0 0, L_0x559bc9eb1cd0; 1 drivers +v0x559bc9e88c00_0 .net *"_ivl_26", 0 0, L_0x559bc9ea7560; 1 drivers +v0x559bc9e88ce0_0 .net *"_ivl_28", 0 0, L_0x559bc9ea7650; 1 drivers +v0x559bc9e88dc0_0 .net *"_ivl_29", 0 0, L_0x559bc9ea76f0; 1 drivers +v0x559bc9e88ea0_0 .net *"_ivl_32", 0 0, L_0x559bc9ea7760; 1 drivers +v0x559bc9e88f80_0 .net *"_ivl_34", 0 0, L_0x559bc9ea7910; 1 drivers +v0x559bc9e89060_0 .net *"_ivl_35", 0 0, L_0x559bc9ea7a00; 1 drivers +v0x559bc9e89140_0 .net *"_ivl_38", 0 0, L_0x559bc9ea7a70; 1 drivers +v0x559bc9e89220_0 .net *"_ivl_4", 0 0, L_0x559bc9ea6b50; 1 drivers +v0x559bc9e89300_0 .net *"_ivl_40", 0 0, L_0x559bc9ea7be0; 1 drivers +v0x559bc9e893e0_0 .net *"_ivl_41", 0 0, L_0x559bc9ea78a0; 1 drivers +v0x559bc9e894c0_0 .net *"_ivl_44", 0 0, L_0x559bc9ea7d60; 1 drivers +v0x559bc9e895a0_0 .net *"_ivl_46", 0 0, L_0x559bc9ea7f20; 1 drivers +v0x559bc9e89680_0 .net *"_ivl_47", 0 0, L_0x559bc9ea81f0; 1 drivers +v0x559bc9e89760_0 .net *"_ivl_51", 0 0, L_0x559bc9ea8300; 1 drivers +v0x559bc9e89840_0 .net *"_ivl_53", 0 0, L_0x559bc9ea83f0; 1 drivers +v0x559bc9e89920_0 .net *"_ivl_54", 0 0, L_0x559bc9ea8590; 1 drivers +v0x559bc9e89a00_0 .net *"_ivl_57", 0 0, L_0x559bc9ea8150; 1 drivers +v0x559bc9e89ae0_0 .net *"_ivl_59", 0 0, L_0x559bc9ea8650; 1 drivers +v0x559bc9e89bc0_0 .net *"_ivl_60", 0 0, L_0x559bc9ea8800; 1 drivers +v0x559bc9e89ca0_0 .net *"_ivl_63", 0 0, L_0x559bc9ea8870; 1 drivers +v0x559bc9e89d80_0 .net *"_ivl_65", 0 0, L_0x559bc9ea8910; 1 drivers +v0x559bc9e89e60_0 .net *"_ivl_66", 0 0, L_0x559bc9ea8ad0; 1 drivers +v0x559bc9e89f40_0 .net *"_ivl_69", 0 0, L_0x559bc9ea8b40; 1 drivers +v0x559bc9e8a020_0 .net *"_ivl_7", 0 0, L_0x559bc9ea6bc0; 1 drivers +v0x559bc9e8a100_0 .net *"_ivl_71", 0 0, L_0x559bc9ea8be0; 1 drivers +v0x559bc9e8a1e0_0 .net *"_ivl_72", 0 0, L_0x559bc9ea8f40; 1 drivers +v0x559bc9e8a2c0_0 .net *"_ivl_76", 0 0, L_0x559bc9ea9070; 1 drivers +v0x559bc9e8a3a0_0 .net *"_ivl_78", 0 0, L_0x559bc9ea9250; 1 drivers +v0x559bc9e8a480_0 .net *"_ivl_79", 0 0, L_0x559bc9ea9340; 1 drivers +v0x559bc9e8a560_0 .net *"_ivl_82", 0 0, L_0x559bc9ea93b0; 1 drivers +v0x559bc9e8a640_0 .net *"_ivl_84", 0 0, L_0x559bc9ea95a0; 1 drivers +v0x559bc9e8a720_0 .net *"_ivl_85", 0 0, L_0x559bc9ea9690; 1 drivers +v0x559bc9e8a800_0 .net *"_ivl_88", 0 0, L_0x559bc9ea9780; 1 drivers +v0x559bc9e8a8e0_0 .net *"_ivl_9", 0 0, L_0x559bc9ea6cb0; 1 drivers +v0x559bc9e8a9c0_0 .net *"_ivl_90", 0 0, L_0x559bc9ea9980; 1 drivers +v0x559bc9e8aaa0_0 .net *"_ivl_91", 0 0, L_0x559bc9ea9000; 1 drivers +v0x559bc9e8ab80_0 .net *"_ivl_94", 0 0, L_0x559bc9ea9a70; 1 drivers +v0x559bc9e8ac60_0 .net *"_ivl_96", 0 0, L_0x559bc9ea9c30; 1 drivers +v0x559bc9e8ad40_0 .net *"_ivl_97", 0 0, L_0x559bc9ea9b10; 1 drivers +v0x559bc9e8ae20_0 .net "aUtemp1", 3 0, L_0x559bc9ea8db0; 1 drivers +v0x559bc9e8af00_0 .net "aUtemp2", 3 0, L_0x559bc9ea9d20; 1 drivers +v0x559bc9e8afe0_0 .net "add_Y", 3 0, L_0x559bc9e93760; 1 drivers +v0x559bc9e8b0d0_0 .net "bcd", 11 0, L_0x559bc9eb7b80; alias, 1 drivers +v0x559bc9e8b9b0_0 .net "lUOutput1", 3 0, L_0x559bc9ea7310; 1 drivers +v0x559bc9e8ba70_0 .net "lUOutput2", 3 0, L_0x559bc9ea7fc0; 1 drivers +v0x559bc9e8bb50_0 .net "opCode8", 7 0, L_0x559bc9e8e0e0; 1 drivers +v0x559bc9e8bc40_0 .net "opCodeA", 2 0, v0x559bc9e8c9c0_0; 1 drivers +v0x559bc9e8bd10_0 .net "opwireM", 7 0, L_0x559bc9ea6380; 1 drivers +v0x559bc9e8bde0_0 .net "overflow", 0 0, L_0x559bc9e94bf0; alias, 1 drivers +v0x559bc9e8beb0_0 .net "resultA", 3 0, L_0x559bc9e97f30; 1 drivers +v0x559bc9e8bf80_0 .net "resultO", 3 0, L_0x559bc9e990b0; 1 drivers +v0x559bc9e8c050_0 .net "resultX", 3 0, L_0x559bc9e9a310; 1 drivers +v0x559bc9e8c120_0 .net "sub_Y", 3 0, L_0x559bc9e944e0; 1 drivers +v0x559bc9e8c1f0_0 .net "wireLA", 3 0, L_0x559bc9eaee80; 1 drivers +v0x559bc9e8c290_0 .net "wireM", 7 0, L_0x559bc9eabf10; 1 drivers +v0x559bc9e8c370_0 .net "wireY", 3 0, L_0x559bc9ead5b0; 1 drivers +L_0x559bc9e94cc0 .part L_0x559bc9e8e0e0, 0, 2; +L_0x559bc9e9ab80 .part L_0x559bc9e8e0e0, 4, 3; +L_0x559bc9ea6bc0 .part L_0x559bc9e97f30, 0, 1; +L_0x559bc9ea6cb0 .part L_0x559bc9e990b0, 0, 1; +L_0x559bc9ea6e10 .part L_0x559bc9e97f30, 1, 1; +L_0x559bc9ea6f90 .part L_0x559bc9e990b0, 1, 1; +L_0x559bc9ea7130 .part L_0x559bc9e97f30, 2, 1; +L_0x559bc9ea71d0 .part L_0x559bc9e990b0, 2, 1; +L_0x559bc9ea7310 .concat8 [ 1 1 1 1], L_0x559bc9ea6b50, L_0x559bc9ea6da0, L_0x559bc9ea70c0, L_0x559bc9ea74a0; +L_0x559bc9ea7560 .part L_0x559bc9e97f30, 3, 1; +L_0x559bc9ea7650 .part L_0x559bc9e990b0, 3, 1; +L_0x559bc9ea7760 .part L_0x559bc9ea7310, 0, 1; +L_0x559bc9ea7910 .part L_0x559bc9e9a310, 0, 1; +L_0x559bc9ea7a70 .part L_0x559bc9ea7310, 1, 1; +L_0x559bc9ea7be0 .part L_0x559bc9e9a310, 1, 1; +L_0x559bc9ea7d60 .part L_0x559bc9ea7310, 2, 1; +L_0x559bc9ea7f20 .part L_0x559bc9e9a310, 2, 1; +L_0x559bc9ea7fc0 .concat8 [ 1 1 1 1], L_0x559bc9ea76f0, L_0x559bc9ea7a00, L_0x559bc9ea78a0, L_0x559bc9ea81f0; +L_0x559bc9ea8300 .part L_0x559bc9ea7310, 3, 1; +L_0x559bc9ea83f0 .part L_0x559bc9e9a310, 3, 1; +L_0x559bc9ea8150 .part L_0x559bc9e8e0e0, 0, 1; +L_0x559bc9ea8650 .part L_0x559bc9e93760, 0, 1; +L_0x559bc9ea8870 .part L_0x559bc9e8e0e0, 0, 1; +L_0x559bc9ea8910 .part L_0x559bc9e93760, 1, 1; +L_0x559bc9ea8b40 .part L_0x559bc9e8e0e0, 0, 1; +L_0x559bc9ea8be0 .part L_0x559bc9e93760, 2, 1; +L_0x559bc9ea8db0 .concat8 [ 1 1 1 1], L_0x559bc9ea8590, L_0x559bc9ea8800, L_0x559bc9ea8ad0, L_0x559bc9ea8f40; +L_0x559bc9ea9070 .part L_0x559bc9e8e0e0, 0, 1; +L_0x559bc9ea9250 .part L_0x559bc9e93760, 3, 1; +L_0x559bc9ea93b0 .part L_0x559bc9e8e0e0, 1, 1; +L_0x559bc9ea95a0 .part L_0x559bc9e944e0, 0, 1; +L_0x559bc9ea9780 .part L_0x559bc9e8e0e0, 1, 1; +L_0x559bc9ea9980 .part L_0x559bc9e944e0, 1, 1; +L_0x559bc9ea9a70 .part L_0x559bc9e8e0e0, 1, 1; +L_0x559bc9ea9c30 .part L_0x559bc9e944e0, 2, 1; +L_0x559bc9ea9d20 .concat8 [ 1 1 1 1], L_0x559bc9ea9340, L_0x559bc9ea9690, L_0x559bc9ea9000, L_0x559bc9ea9b10; +L_0x559bc9eaa070 .part L_0x559bc9e8e0e0, 1, 1; +L_0x559bc9eaa110 .part L_0x559bc9e944e0, 3, 1; +L_0x559bc9eaa3b0 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eaa4a0 .part L_0x559bc9ea6380, 0, 1; +L_0x559bc9eaa7f0 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eaa8e0 .part L_0x559bc9ea6380, 1, 1; +L_0x559bc9eaaba0 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eaac40 .part L_0x559bc9ea6380, 2, 1; +L_0x559bc9eaa750 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eab010 .part L_0x559bc9ea6380, 3, 1; +L_0x559bc9eab2f0 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eab3e0 .part L_0x559bc9ea6380, 4, 1; +L_0x559bc9eab790 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eab880 .part L_0x559bc9ea6380, 5, 1; +L_0x559bc9eabb80 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eabc70 .part L_0x559bc9ea6380, 6, 1; +LS_0x559bc9eabf10_0_0 .concat8 [ 1 1 1 1], L_0x559bc9eaa340, L_0x559bc9eaa6e0, L_0x559bc9eaab30, L_0x559bc9eaaea0; +LS_0x559bc9eabf10_0_4 .concat8 [ 1 1 1 1], L_0x559bc9eab280, L_0x559bc9eab660, L_0x559bc9eabb10, L_0x559bc9eac230; +L_0x559bc9eabf10 .concat8 [ 4 4 0 0], LS_0x559bc9eabf10_0_0, LS_0x559bc9eabf10_0_4; +L_0x559bc9eac3c0 .part L_0x559bc9e8e0e0, 2, 1; +L_0x559bc9eac670 .part L_0x559bc9ea6380, 7, 1; +L_0x559bc9eac7d0 .part L_0x559bc9ea8db0, 0, 1; +L_0x559bc9eacae0 .part L_0x559bc9ea9d20, 0, 1; +L_0x559bc9eacd70 .part L_0x559bc9ea8db0, 1, 1; +L_0x559bc9ead040 .part L_0x559bc9ea9d20, 1, 1; +L_0x559bc9ead1a0 .part L_0x559bc9ea8db0, 2, 1; +L_0x559bc9ead480 .part L_0x559bc9ea9d20, 2, 1; +L_0x559bc9ead5b0 .concat8 [ 1 1 1 1], L_0x559bc9eac760, L_0x559bc9eacc20, L_0x559bc9ead130, L_0x559bc9ead8f0; +L_0x559bc9eadaa0 .part L_0x559bc9ea8db0, 3, 1; +L_0x559bc9eadb90 .part L_0x559bc9ea9d20, 3, 1; +L_0x559bc9eadf00 .part L_0x559bc9ea7fc0, 0, 1; +L_0x559bc9eae040 .part L_0x559bc9ead5b0, 0, 1; +L_0x559bc9eae510 .part L_0x559bc9ea7fc0, 1, 1; +L_0x559bc9eae600 .part L_0x559bc9ead5b0, 1, 1; +L_0x559bc9eae990 .part L_0x559bc9ea7fc0, 2, 1; +L_0x559bc9eaeb10 .part L_0x559bc9ead5b0, 2, 1; +L_0x559bc9eaee80 .concat8 [ 1 1 1 1], L_0x559bc9eade90, L_0x559bc9eae3a0, L_0x559bc9eae920, L_0x559bc9eaefc0; +L_0x559bc9eaf190 .part L_0x559bc9ea7fc0, 3, 1; +L_0x559bc9eaf4d0 .part L_0x559bc9ead5b0, 3, 1; +L_0x559bc9eaf630 .part L_0x559bc9eaee80, 0, 1; +L_0x559bc9eaf9d0 .part L_0x559bc9eabf10, 0, 1; +L_0x559bc9eafca0 .part L_0x559bc9eaee80, 1, 1; +L_0x559bc9eb0000 .part L_0x559bc9eabf10, 1, 1; +L_0x559bc9eb0160 .part L_0x559bc9eaee80, 2, 1; +L_0x559bc9eb0560 .part L_0x559bc9eabf10, 2, 1; +L_0x559bc9eafb80 .part L_0x559bc9eaee80, 3, 1; +L_0x559bc9eb0ac0 .part L_0x559bc9eabf10, 3, 1; +L_0x559bc9eb0c20 .part L_0x559bc9eabf10, 4, 1; +L_0x559bc9eb11b0 .part L_0x559bc9eabf10, 5, 1; +L_0x559bc9eb1360 .part L_0x559bc9eabf10, 6, 1; +LS_0x559bc9eb1700_0_0 .concat8 [ 1 1 1 1], L_0x559bc9eaf5c0, L_0x559bc9eafb10, L_0x559bc9eb00f0, L_0x559bc9eb0690; +LS_0x559bc9eb1700_0_4 .concat8 [ 1 1 1 1], L_0x559bc9eb0b60, L_0x559bc9eb0fb0, L_0x559bc9eb12a0, L_0x559bc9eb1a70; +L_0x559bc9eb1700 .concat8 [ 4 4 0 0], LS_0x559bc9eb1700_0_0, LS_0x559bc9eb1700_0_4; +L_0x559bc9eb1cd0 .part L_0x559bc9eabf10, 7, 1; +S_0x559bc9e3f180 .scope module, "aU" "arithmeticUnit" 3 20, 4 1 0, S_0x559bc9e41bb0; .timescale 0 0; .port_info 0 /INPUT 2 "opCode"; .port_info 1 /INPUT 4 "A"; @@ -310,72 +311,72 @@ S_0x556a36224580 .scope module, "aU" "arithmeticUnit" 3 20, 4 1 0, S_0x556a36224 .port_info 5 /OUTPUT 4 "sub_Y"; .port_info 6 /OUTPUT 1 "CarryOUT"; .port_info 7 /OUTPUT 1 "overflow"; -L_0x556a362f7090 .functor AND 1, L_0x556a362f7120, L_0x556a362f71c0, C4<1>, C4<1>; -L_0x556a362f7260 .functor AND 1, L_0x556a362f72d0, L_0x556a362f73c0, C4<1>, C4<1>; -L_0x556a362f7540 .functor AND 1, L_0x556a362f75b0, L_0x556a362f7650, C4<1>, C4<1>; -L_0x556a362f7960 .functor AND 1, L_0x556a362f7a20, L_0x556a362f7bf0, C4<1>, C4<1>; -L_0x556a362f7c90 .functor AND 1, L_0x556a362f7d00, L_0x556a362f7e50, C4<1>, C4<1>; -L_0x556a362f7ef0 .functor AND 1, L_0x556a362f7fa0, L_0x556a362f8100, C4<1>, C4<1>; -L_0x556a362f8280 .functor AND 1, L_0x556a362f82f0, L_0x556a362f8410, C4<1>, C4<1>; -L_0x556a362f8090 .functor AND 1, L_0x556a362f8810, L_0x556a362f8a10, C4<1>, C4<1>; -L_0x556a362f8ba0 .functor OR 1, L_0x556a362f4220, L_0x556a362f6ae0, C4<0>, C4<0>; -L_0x556a362f8c10 .functor OR 1, L_0x556a362f4860, L_0x556a362f6ae0, C4<0>, C4<0>; -v0x556a362c85b0_0 .net "A", 3 0, v0x556a362f05a0_0; alias, 1 drivers -v0x556a362c86e0_0 .net "B", 3 0, v0x556a362f0680_0; alias, 1 drivers -v0x556a362c87f0_0 .net "CarryIN", 0 0, v0x556a362f0740_0; alias, 1 drivers -v0x556a362c8890_0 .net "CarryOUT", 0 0, L_0x556a362f8ba0; alias, 1 drivers -v0x556a362c8930_0 .net "CarryOUTADD", 0 0, L_0x556a362f4220; 1 drivers -v0x556a362c8a70_0 .net "CarryOUTSUB", 0 0, L_0x556a362f6ae0; 1 drivers -v0x556a362c8b60_0 .net *"_ivl_0", 0 0, L_0x556a362f7090; 1 drivers -v0x556a362c8c40_0 .net *"_ivl_11", 0 0, L_0x556a362f73c0; 1 drivers -v0x556a362c8d20_0 .net *"_ivl_12", 0 0, L_0x556a362f7540; 1 drivers -v0x556a362c8e90_0 .net *"_ivl_15", 0 0, L_0x556a362f75b0; 1 drivers -v0x556a362c8f70_0 .net *"_ivl_17", 0 0, L_0x556a362f7650; 1 drivers -v0x556a362c9050_0 .net *"_ivl_18", 0 0, L_0x556a362f7960; 1 drivers -v0x556a362c9130_0 .net *"_ivl_22", 0 0, L_0x556a362f7a20; 1 drivers -v0x556a362c9210_0 .net *"_ivl_24", 0 0, L_0x556a362f7bf0; 1 drivers -v0x556a362c92f0_0 .net *"_ivl_25", 0 0, L_0x556a362f7c90; 1 drivers -v0x556a362c93d0_0 .net *"_ivl_28", 0 0, L_0x556a362f7d00; 1 drivers -v0x556a362c94b0_0 .net *"_ivl_3", 0 0, L_0x556a362f7120; 1 drivers -v0x556a362c9590_0 .net *"_ivl_30", 0 0, L_0x556a362f7e50; 1 drivers -v0x556a362c9670_0 .net *"_ivl_31", 0 0, L_0x556a362f7ef0; 1 drivers -v0x556a362c9750_0 .net *"_ivl_34", 0 0, L_0x556a362f7fa0; 1 drivers -v0x556a362c9830_0 .net *"_ivl_36", 0 0, L_0x556a362f8100; 1 drivers -v0x556a362c9910_0 .net *"_ivl_37", 0 0, L_0x556a362f8280; 1 drivers -v0x556a362c99f0_0 .net *"_ivl_40", 0 0, L_0x556a362f82f0; 1 drivers -v0x556a362c9ad0_0 .net *"_ivl_42", 0 0, L_0x556a362f8410; 1 drivers -v0x556a362c9bb0_0 .net *"_ivl_43", 0 0, L_0x556a362f8090; 1 drivers -v0x556a362c9c90_0 .net *"_ivl_47", 0 0, L_0x556a362f8810; 1 drivers -v0x556a362c9d70_0 .net *"_ivl_49", 0 0, L_0x556a362f8a10; 1 drivers -v0x556a362c9e50_0 .net *"_ivl_5", 0 0, L_0x556a362f71c0; 1 drivers -v0x556a362c9f30_0 .net *"_ivl_6", 0 0, L_0x556a362f7260; 1 drivers -v0x556a362ca010_0 .net *"_ivl_9", 0 0, L_0x556a362f72d0; 1 drivers -v0x556a362ca0f0_0 .net "addY", 3 0, L_0x556a362f47c0; 1 drivers -v0x556a362ca1b0_0 .net "add_Y", 3 0, L_0x556a362f7780; alias, 1 drivers -v0x556a362ca270_0 .net "opCode", 1 0, L_0x556a362f8ce0; 1 drivers -v0x556a362ca350_0 .net "overflow", 0 0, L_0x556a362f8c10; alias, 1 drivers -v0x556a362ca410_0 .net "overflowADD", 0 0, L_0x556a362f4860; 1 drivers -v0x556a362ca4b0_0 .net "subY", 3 0, L_0x556a362f6f80; 1 drivers -v0x556a362ca550_0 .net "sub_Y", 3 0, L_0x556a362f8500; alias, 1 drivers -L_0x556a362f7120 .part L_0x556a362f8ce0, 0, 1; -L_0x556a362f71c0 .part L_0x556a362f47c0, 0, 1; -L_0x556a362f72d0 .part L_0x556a362f8ce0, 0, 1; -L_0x556a362f73c0 .part L_0x556a362f47c0, 1, 1; -L_0x556a362f75b0 .part L_0x556a362f8ce0, 0, 1; -L_0x556a362f7650 .part L_0x556a362f47c0, 2, 1; -L_0x556a362f7780 .concat8 [ 1 1 1 1], L_0x556a362f7090, L_0x556a362f7260, L_0x556a362f7540, L_0x556a362f7960; -L_0x556a362f7a20 .part L_0x556a362f8ce0, 0, 1; -L_0x556a362f7bf0 .part L_0x556a362f47c0, 3, 1; -L_0x556a362f7d00 .part L_0x556a362f8ce0, 1, 1; -L_0x556a362f7e50 .part L_0x556a362f6f80, 0, 1; -L_0x556a362f7fa0 .part L_0x556a362f8ce0, 1, 1; -L_0x556a362f8100 .part L_0x556a362f6f80, 1, 1; -L_0x556a362f82f0 .part L_0x556a362f8ce0, 1, 1; -L_0x556a362f8410 .part L_0x556a362f6f80, 2, 1; -L_0x556a362f8500 .concat8 [ 1 1 1 1], L_0x556a362f7c90, L_0x556a362f7ef0, L_0x556a362f8280, L_0x556a362f8090; -L_0x556a362f8810 .part L_0x556a362f8ce0, 1, 1; -L_0x556a362f8a10 .part L_0x556a362f6f80, 3, 1; -S_0x556a36287630 .scope module, "a1" "addition" 4 13, 5 1 0, S_0x556a36224580; +L_0x559bc9e93070 .functor AND 1, L_0x559bc9e93100, L_0x559bc9e931a0, C4<1>, C4<1>; +L_0x559bc9e93240 .functor AND 1, L_0x559bc9e932b0, L_0x559bc9e933a0, C4<1>, C4<1>; +L_0x559bc9e93520 .functor AND 1, L_0x559bc9e93590, L_0x559bc9e93630, C4<1>, C4<1>; +L_0x559bc9e93940 .functor AND 1, L_0x559bc9e93a00, L_0x559bc9e93bd0, C4<1>, C4<1>; +L_0x559bc9e93c70 .functor AND 1, L_0x559bc9e93ce0, L_0x559bc9e93e30, C4<1>, C4<1>; +L_0x559bc9e93ed0 .functor AND 1, L_0x559bc9e93f80, L_0x559bc9e940e0, C4<1>, C4<1>; +L_0x559bc9e94260 .functor AND 1, L_0x559bc9e942d0, L_0x559bc9e943f0, C4<1>, C4<1>; +L_0x559bc9e94070 .functor AND 1, L_0x559bc9e947f0, L_0x559bc9e949f0, C4<1>, C4<1>; +L_0x559bc9e94b80 .functor OR 1, L_0x559bc9e90200, L_0x559bc9e92ac0, C4<0>, C4<0>; +L_0x559bc9e94bf0 .functor OR 1, L_0x559bc9e90840, L_0x559bc9e92ac0, C4<0>, C4<0>; +v0x559bc9e5c390_0 .net "A", 3 0, v0x559bc9e8c570_0; alias, 1 drivers +v0x559bc9e5c4c0_0 .net "B", 3 0, v0x559bc9e8c650_0; alias, 1 drivers +v0x559bc9e5c5d0_0 .net "CarryIN", 0 0, v0x559bc9e8c710_0; alias, 1 drivers +v0x559bc9e5c670_0 .net "CarryOUT", 0 0, L_0x559bc9e94b80; alias, 1 drivers +v0x559bc9e5c710_0 .net "CarryOUTADD", 0 0, L_0x559bc9e90200; 1 drivers +v0x559bc9e5c850_0 .net "CarryOUTSUB", 0 0, L_0x559bc9e92ac0; 1 drivers +v0x559bc9e5c940_0 .net *"_ivl_0", 0 0, L_0x559bc9e93070; 1 drivers +v0x559bc9e5ca20_0 .net *"_ivl_11", 0 0, L_0x559bc9e933a0; 1 drivers +v0x559bc9e5cb00_0 .net *"_ivl_12", 0 0, L_0x559bc9e93520; 1 drivers +v0x559bc9e5cc70_0 .net *"_ivl_15", 0 0, L_0x559bc9e93590; 1 drivers +v0x559bc9e5cd50_0 .net *"_ivl_17", 0 0, L_0x559bc9e93630; 1 drivers +v0x559bc9e5ce30_0 .net *"_ivl_18", 0 0, L_0x559bc9e93940; 1 drivers +v0x559bc9e5cf10_0 .net *"_ivl_22", 0 0, L_0x559bc9e93a00; 1 drivers +v0x559bc9e5cff0_0 .net *"_ivl_24", 0 0, L_0x559bc9e93bd0; 1 drivers +v0x559bc9e5d0d0_0 .net *"_ivl_25", 0 0, L_0x559bc9e93c70; 1 drivers +v0x559bc9e5d1b0_0 .net *"_ivl_28", 0 0, L_0x559bc9e93ce0; 1 drivers +v0x559bc9e5d290_0 .net *"_ivl_3", 0 0, L_0x559bc9e93100; 1 drivers +v0x559bc9e5d370_0 .net *"_ivl_30", 0 0, L_0x559bc9e93e30; 1 drivers +v0x559bc9e5d450_0 .net *"_ivl_31", 0 0, L_0x559bc9e93ed0; 1 drivers +v0x559bc9e5d530_0 .net *"_ivl_34", 0 0, L_0x559bc9e93f80; 1 drivers +v0x559bc9e5d610_0 .net *"_ivl_36", 0 0, L_0x559bc9e940e0; 1 drivers +v0x559bc9e5d6f0_0 .net *"_ivl_37", 0 0, L_0x559bc9e94260; 1 drivers +v0x559bc9e5d7d0_0 .net *"_ivl_40", 0 0, L_0x559bc9e942d0; 1 drivers +v0x559bc9e5d8b0_0 .net *"_ivl_42", 0 0, L_0x559bc9e943f0; 1 drivers +v0x559bc9e5d990_0 .net *"_ivl_43", 0 0, L_0x559bc9e94070; 1 drivers +v0x559bc9e5da70_0 .net *"_ivl_47", 0 0, L_0x559bc9e947f0; 1 drivers +v0x559bc9e5db50_0 .net *"_ivl_49", 0 0, L_0x559bc9e949f0; 1 drivers +v0x559bc9e5dc30_0 .net *"_ivl_5", 0 0, L_0x559bc9e931a0; 1 drivers +v0x559bc9e5dd10_0 .net *"_ivl_6", 0 0, L_0x559bc9e93240; 1 drivers +v0x559bc9e5ddf0_0 .net *"_ivl_9", 0 0, L_0x559bc9e932b0; 1 drivers +v0x559bc9e5ded0_0 .net "addY", 3 0, L_0x559bc9e907a0; 1 drivers +v0x559bc9e5df90_0 .net "add_Y", 3 0, L_0x559bc9e93760; alias, 1 drivers +v0x559bc9e5e050_0 .net "opCode", 1 0, L_0x559bc9e94cc0; 1 drivers +v0x559bc9e5e130_0 .net "overflow", 0 0, L_0x559bc9e94bf0; alias, 1 drivers +v0x559bc9e5e1f0_0 .net "overflowADD", 0 0, L_0x559bc9e90840; 1 drivers +v0x559bc9e5e290_0 .net "subY", 3 0, L_0x559bc9e92f60; 1 drivers +v0x559bc9e5e330_0 .net "sub_Y", 3 0, L_0x559bc9e944e0; alias, 1 drivers +L_0x559bc9e93100 .part L_0x559bc9e94cc0, 0, 1; +L_0x559bc9e931a0 .part L_0x559bc9e907a0, 0, 1; +L_0x559bc9e932b0 .part L_0x559bc9e94cc0, 0, 1; +L_0x559bc9e933a0 .part L_0x559bc9e907a0, 1, 1; +L_0x559bc9e93590 .part L_0x559bc9e94cc0, 0, 1; +L_0x559bc9e93630 .part L_0x559bc9e907a0, 2, 1; +L_0x559bc9e93760 .concat8 [ 1 1 1 1], L_0x559bc9e93070, L_0x559bc9e93240, L_0x559bc9e93520, L_0x559bc9e93940; +L_0x559bc9e93a00 .part L_0x559bc9e94cc0, 0, 1; +L_0x559bc9e93bd0 .part L_0x559bc9e907a0, 3, 1; +L_0x559bc9e93ce0 .part L_0x559bc9e94cc0, 1, 1; +L_0x559bc9e93e30 .part L_0x559bc9e92f60, 0, 1; +L_0x559bc9e93f80 .part L_0x559bc9e94cc0, 1, 1; +L_0x559bc9e940e0 .part L_0x559bc9e92f60, 1, 1; +L_0x559bc9e942d0 .part L_0x559bc9e94cc0, 1, 1; +L_0x559bc9e943f0 .part L_0x559bc9e92f60, 2, 1; +L_0x559bc9e944e0 .concat8 [ 1 1 1 1], L_0x559bc9e93c70, L_0x559bc9e93ed0, L_0x559bc9e94260, L_0x559bc9e94070; +L_0x559bc9e947f0 .part L_0x559bc9e94cc0, 1, 1; +L_0x559bc9e949f0 .part L_0x559bc9e92f60, 3, 1; +S_0x559bc9e3cde0 .scope module, "a1" "addition" 4 13, 5 1 0, S_0x559bc9e3f180; .timescale 0 0; .port_info 0 /INPUT 4 "A"; .port_info 1 /INPUT 4 "B"; @@ -383,394 +384,710 @@ S_0x556a36287630 .scope module, "a1" "addition" 4 13, 5 1 0, S_0x556a36224580; .port_info 3 /OUTPUT 4 "Y"; .port_info 4 /OUTPUT 1 "CarryOUT"; .port_info 5 /OUTPUT 1 "overflow"; -L_0x556a362f4860 .functor XOR 1, L_0x556a362f4980, L_0x556a362f4220, C4<0>, C4<0>; -v0x556a362c1a30_0 .net "A", 3 0, v0x556a362f05a0_0; alias, 1 drivers -v0x556a362c1b10_0 .net "B", 3 0, v0x556a362f0680_0; alias, 1 drivers -v0x556a362c1bf0_0 .net "Carry4", 2 0, L_0x556a362f3c90; 1 drivers -v0x556a362c1cb0_0 .net "CarryIN", 0 0, v0x556a362f0740_0; alias, 1 drivers -v0x556a362c1da0_0 .net "CarryOUT", 0 0, L_0x556a362f4220; alias, 1 drivers -v0x556a362c1e90_0 .net "Y", 3 0, L_0x556a362f47c0; alias, 1 drivers -v0x556a362c1f50_0 .net *"_ivl_39", 0 0, L_0x556a362f4980; 1 drivers -v0x556a362c2030_0 .net "overflow", 0 0, L_0x556a362f4860; alias, 1 drivers -L_0x556a362f2990 .part v0x556a362f05a0_0, 0, 1; -L_0x556a362f2ac0 .part v0x556a362f0680_0, 0, 1; -L_0x556a362f2fe0 .part v0x556a362f05a0_0, 1, 1; -L_0x556a362f3220 .part v0x556a362f0680_0, 1, 1; -L_0x556a362f33d0 .part L_0x556a362f3c90, 0, 1; -L_0x556a362f3870 .part v0x556a362f05a0_0, 2, 1; -L_0x556a362f39e0 .part v0x556a362f0680_0, 2, 1; -L_0x556a362f3b10 .part L_0x556a362f3c90, 1, 1; -L_0x556a362f3c90 .concat8 [ 1 1 1 0], L_0x556a362f2920, L_0x556a362f2f50, L_0x556a362f37e0; -L_0x556a362f42d0 .part v0x556a362f05a0_0, 3, 1; -L_0x556a362f4460 .part v0x556a362f0680_0, 3, 1; -L_0x556a362f4590 .part L_0x556a362f3c90, 2, 1; -L_0x556a362f47c0 .concat8 [ 1 1 1 1], L_0x556a362f28b0, L_0x556a362f2e70, L_0x556a362f3700, L_0x556a362f4140; -L_0x556a362f4980 .part L_0x556a362f3c90, 2, 1; -S_0x556a36285b90 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x556a36287630; +L_0x559bc9e90840 .functor XOR 1, L_0x559bc9e90960, L_0x559bc9e90200, C4<0>, C4<0>; +v0x559bc9e55780_0 .net "A", 3 0, v0x559bc9e8c570_0; alias, 1 drivers +v0x559bc9e55860_0 .net "B", 3 0, v0x559bc9e8c650_0; alias, 1 drivers +v0x559bc9e55940_0 .net "Carry4", 2 0, L_0x559bc9e8fc70; 1 drivers +v0x559bc9e55a00_0 .net "CarryIN", 0 0, v0x559bc9e8c710_0; alias, 1 drivers +v0x559bc9e55af0_0 .net "CarryOUT", 0 0, L_0x559bc9e90200; alias, 1 drivers +v0x559bc9e55be0_0 .net "Y", 3 0, L_0x559bc9e907a0; alias, 1 drivers +v0x559bc9e55ca0_0 .net *"_ivl_39", 0 0, L_0x559bc9e90960; 1 drivers +v0x559bc9e55d80_0 .net "overflow", 0 0, L_0x559bc9e90840; alias, 1 drivers +L_0x559bc9e8e970 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e8eaa0 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e8efc0 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e8f200 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e8f3b0 .part L_0x559bc9e8fc70, 0, 1; +L_0x559bc9e8f850 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e8f9c0 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e8faf0 .part L_0x559bc9e8fc70, 1, 1; +L_0x559bc9e8fc70 .concat8 [ 1 1 1 0], L_0x559bc9e8e900, L_0x559bc9e8ef30, L_0x559bc9e8f7c0; +L_0x559bc9e902b0 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e90440 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9e90570 .part L_0x559bc9e8fc70, 2, 1; +L_0x559bc9e907a0 .concat8 [ 1 1 1 1], L_0x559bc9e8e890, L_0x559bc9e8ee50, L_0x559bc9e8f6e0, L_0x559bc9e90120; +L_0x559bc9e90960 .part L_0x559bc9e8fc70, 2, 1; +S_0x559bc9e3a630 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x559bc9e3cde0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a362f2920 .functor OR 1, L_0x556a362f2620, L_0x556a362f27f0, C4<0>, C4<0>; -v0x556a362bd6e0_0 .net "A", 0 0, L_0x556a362f2990; 1 drivers -v0x556a362bd7a0_0 .net "B", 0 0, L_0x556a362f2ac0; 1 drivers -v0x556a362bd870_0 .net "Carry", 0 0, v0x556a362f0740_0; alias, 1 drivers -v0x556a362bd970_0 .net "CarryO", 0 0, L_0x556a362f2920; 1 drivers -v0x556a362bda10_0 .net "Sum", 0 0, L_0x556a362f28b0; 1 drivers -v0x556a362bdb00_0 .net "and1", 0 0, L_0x556a362f2620; 1 drivers -v0x556a362bdbd0_0 .net "and2", 0 0, L_0x556a362f27f0; 1 drivers -v0x556a362bdca0_0 .net "xor1", 0 0, L_0x556a362f2780; 1 drivers -S_0x556a3627d500 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a36285b90; +L_0x559bc9e8e900 .functor OR 1, L_0x559bc9e8e600, L_0x559bc9e8e7d0, C4<0>, C4<0>; +v0x559bc9e51430_0 .net "A", 0 0, L_0x559bc9e8e970; 1 drivers +v0x559bc9e514f0_0 .net "B", 0 0, L_0x559bc9e8eaa0; 1 drivers +v0x559bc9e515c0_0 .net "Carry", 0 0, v0x559bc9e8c710_0; alias, 1 drivers +v0x559bc9e516c0_0 .net "CarryO", 0 0, L_0x559bc9e8e900; 1 drivers +v0x559bc9e51760_0 .net "Sum", 0 0, L_0x559bc9e8e890; 1 drivers +v0x559bc9e51850_0 .net "and1", 0 0, L_0x559bc9e8e600; 1 drivers +v0x559bc9e51920_0 .net "and2", 0 0, L_0x559bc9e8e7d0; 1 drivers +v0x559bc9e519f0_0 .net "xor1", 0 0, L_0x559bc9e8e760; 1 drivers +S_0x559bc9e37e80 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e3a630; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f2620 .functor AND 1, L_0x556a362f2990, L_0x556a362f2ac0, C4<1>, C4<1>; -L_0x556a362f2780 .functor XOR 1, L_0x556a362f2990, L_0x556a362f2ac0, C4<0>, C4<0>; -v0x556a3624ec70_0 .net "A", 0 0, L_0x556a362f2990; alias, 1 drivers -v0x556a3624cf90_0 .net "B", 0 0, L_0x556a362f2ac0; alias, 1 drivers -v0x556a3624b280_0 .net "Carry", 0 0, L_0x556a362f2620; alias, 1 drivers -v0x556a362a8320_0 .net "Sum", 0 0, L_0x556a362f2780; alias, 1 drivers -S_0x556a362bd2a0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a36285b90; +L_0x559bc9e8e600 .functor AND 1, L_0x559bc9e8e970, L_0x559bc9e8eaa0, C4<1>, C4<1>; +L_0x559bc9e8e760 .functor XOR 1, L_0x559bc9e8e970, L_0x559bc9e8eaa0, C4<0>, C4<0>; +v0x559bc9dd4cc0_0 .net "A", 0 0, L_0x559bc9e8e970; alias, 1 drivers +v0x559bc9dd2fb0_0 .net "B", 0 0, L_0x559bc9e8eaa0; alias, 1 drivers +v0x559bc9e47400_0 .net "Carry", 0 0, L_0x559bc9e8e600; alias, 1 drivers +v0x559bc9e47710_0 .net "Sum", 0 0, L_0x559bc9e8e760; alias, 1 drivers +S_0x559bc9e51040 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e3a630; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f27f0 .functor AND 1, L_0x556a362f2780, v0x556a362f0740_0, C4<1>, C4<1>; -L_0x556a362f28b0 .functor XOR 1, L_0x556a362f2780, v0x556a362f0740_0, C4<0>, C4<0>; -v0x556a362a76b0_0 .net "A", 0 0, L_0x556a362f2780; alias, 1 drivers -v0x556a3629d2b0_0 .net "B", 0 0, v0x556a362f0740_0; alias, 1 drivers -v0x556a362bd4a0_0 .net "Carry", 0 0, L_0x556a362f27f0; alias, 1 drivers -v0x556a362bd570_0 .net "Sum", 0 0, L_0x556a362f28b0; alias, 1 drivers -S_0x556a362bdd90 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x556a36287630; +L_0x559bc9e8e7d0 .functor AND 1, L_0x559bc9e8e760, v0x559bc9e8c710_0, C4<1>, C4<1>; +L_0x559bc9e8e890 .functor XOR 1, L_0x559bc9e8e760, v0x559bc9e8c710_0, C4<0>, C4<0>; +v0x559bc9e47a20_0 .net "A", 0 0, L_0x559bc9e8e760; alias, 1 drivers +v0x559bc9e47cd0_0 .net "B", 0 0, v0x559bc9e8c710_0; alias, 1 drivers +v0x559bc9e511f0_0 .net "Carry", 0 0, L_0x559bc9e8e7d0; alias, 1 drivers +v0x559bc9e512c0_0 .net "Sum", 0 0, L_0x559bc9e8e890; alias, 1 drivers +S_0x559bc9e51ae0 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x559bc9e3cde0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a362f2f50 .functor OR 1, L_0x556a362f2bf0, L_0x556a362f2d20, C4<0>, C4<0>; -v0x556a362beb10_0 .net "A", 0 0, L_0x556a362f2fe0; 1 drivers -v0x556a362bebd0_0 .net "B", 0 0, L_0x556a362f3220; 1 drivers -v0x556a362beca0_0 .net "Carry", 0 0, L_0x556a362f33d0; 1 drivers -v0x556a362beda0_0 .net "CarryO", 0 0, L_0x556a362f2f50; 1 drivers -v0x556a362bee40_0 .net "Sum", 0 0, L_0x556a362f2e70; 1 drivers -v0x556a362bef30_0 .net "and1", 0 0, L_0x556a362f2bf0; 1 drivers -v0x556a362bf000_0 .net "and2", 0 0, L_0x556a362f2d20; 1 drivers -v0x556a362bf0d0_0 .net "xor1", 0 0, L_0x556a362f2cb0; 1 drivers -S_0x556a362bdf70 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362bdd90; +L_0x559bc9e8ef30 .functor OR 1, L_0x559bc9e8ebd0, L_0x559bc9e8ed00, C4<0>, C4<0>; +v0x559bc9e52860_0 .net "A", 0 0, L_0x559bc9e8efc0; 1 drivers +v0x559bc9e52920_0 .net "B", 0 0, L_0x559bc9e8f200; 1 drivers +v0x559bc9e529f0_0 .net "Carry", 0 0, L_0x559bc9e8f3b0; 1 drivers +v0x559bc9e52af0_0 .net "CarryO", 0 0, L_0x559bc9e8ef30; 1 drivers +v0x559bc9e52b90_0 .net "Sum", 0 0, L_0x559bc9e8ee50; 1 drivers +v0x559bc9e52c80_0 .net "and1", 0 0, L_0x559bc9e8ebd0; 1 drivers +v0x559bc9e52d50_0 .net "and2", 0 0, L_0x559bc9e8ed00; 1 drivers +v0x559bc9e52e20_0 .net "xor1", 0 0, L_0x559bc9e8ec90; 1 drivers +S_0x559bc9e51cc0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e51ae0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f2bf0 .functor AND 1, L_0x556a362f2fe0, L_0x556a362f3220, C4<1>, C4<1>; -L_0x556a362f2cb0 .functor XOR 1, L_0x556a362f2fe0, L_0x556a362f3220, C4<0>, C4<0>; -v0x556a362be180_0 .net "A", 0 0, L_0x556a362f2fe0; alias, 1 drivers -v0x556a362be260_0 .net "B", 0 0, L_0x556a362f3220; alias, 1 drivers -v0x556a362be320_0 .net "Carry", 0 0, L_0x556a362f2bf0; alias, 1 drivers -v0x556a362be3f0_0 .net "Sum", 0 0, L_0x556a362f2cb0; alias, 1 drivers -S_0x556a362be560 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362bdd90; +L_0x559bc9e8ebd0 .functor AND 1, L_0x559bc9e8efc0, L_0x559bc9e8f200, C4<1>, C4<1>; +L_0x559bc9e8ec90 .functor XOR 1, L_0x559bc9e8efc0, L_0x559bc9e8f200, C4<0>, C4<0>; +v0x559bc9e51ed0_0 .net "A", 0 0, L_0x559bc9e8efc0; alias, 1 drivers +v0x559bc9e51fb0_0 .net "B", 0 0, L_0x559bc9e8f200; alias, 1 drivers +v0x559bc9e52070_0 .net "Carry", 0 0, L_0x559bc9e8ebd0; alias, 1 drivers +v0x559bc9e52140_0 .net "Sum", 0 0, L_0x559bc9e8ec90; alias, 1 drivers +S_0x559bc9e522b0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e51ae0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f2d20 .functor AND 1, L_0x556a362f2cb0, L_0x556a362f33d0, C4<1>, C4<1>; -L_0x556a362f2e70 .functor XOR 1, L_0x556a362f2cb0, L_0x556a362f33d0, C4<0>, C4<0>; -v0x556a362be760_0 .net "A", 0 0, L_0x556a362f2cb0; alias, 1 drivers -v0x556a362be830_0 .net "B", 0 0, L_0x556a362f33d0; alias, 1 drivers -v0x556a362be8d0_0 .net "Carry", 0 0, L_0x556a362f2d20; alias, 1 drivers -v0x556a362be9a0_0 .net "Sum", 0 0, L_0x556a362f2e70; alias, 1 drivers -S_0x556a362bf1c0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x556a36287630; +L_0x559bc9e8ed00 .functor AND 1, L_0x559bc9e8ec90, L_0x559bc9e8f3b0, C4<1>, C4<1>; +L_0x559bc9e8ee50 .functor XOR 1, L_0x559bc9e8ec90, L_0x559bc9e8f3b0, C4<0>, C4<0>; +v0x559bc9e524b0_0 .net "A", 0 0, L_0x559bc9e8ec90; alias, 1 drivers +v0x559bc9e52580_0 .net "B", 0 0, L_0x559bc9e8f3b0; alias, 1 drivers +v0x559bc9e52620_0 .net "Carry", 0 0, L_0x559bc9e8ed00; alias, 1 drivers +v0x559bc9e526f0_0 .net "Sum", 0 0, L_0x559bc9e8ee50; alias, 1 drivers +S_0x559bc9e52f10 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x559bc9e3cde0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a362f37e0 .functor OR 1, L_0x556a362f3470, L_0x556a362f3570, C4<0>, C4<0>; -v0x556a362bff50_0 .net "A", 0 0, L_0x556a362f3870; 1 drivers -v0x556a362c0010_0 .net "B", 0 0, L_0x556a362f39e0; 1 drivers -v0x556a362c00e0_0 .net "Carry", 0 0, L_0x556a362f3b10; 1 drivers -v0x556a362c01e0_0 .net "CarryO", 0 0, L_0x556a362f37e0; 1 drivers -v0x556a362c0280_0 .net "Sum", 0 0, L_0x556a362f3700; 1 drivers -v0x556a362c0370_0 .net "and1", 0 0, L_0x556a362f3470; 1 drivers -v0x556a362c0440_0 .net "and2", 0 0, L_0x556a362f3570; 1 drivers -v0x556a362c0510_0 .net "xor1", 0 0, L_0x556a362f34e0; 1 drivers -S_0x556a362bf3d0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362bf1c0; +L_0x559bc9e8f7c0 .functor OR 1, L_0x559bc9e8f450, L_0x559bc9e8f550, C4<0>, C4<0>; +v0x559bc9e53ca0_0 .net "A", 0 0, L_0x559bc9e8f850; 1 drivers +v0x559bc9e53d60_0 .net "B", 0 0, L_0x559bc9e8f9c0; 1 drivers +v0x559bc9e53e30_0 .net "Carry", 0 0, L_0x559bc9e8faf0; 1 drivers +v0x559bc9e53f30_0 .net "CarryO", 0 0, L_0x559bc9e8f7c0; 1 drivers +v0x559bc9e53fd0_0 .net "Sum", 0 0, L_0x559bc9e8f6e0; 1 drivers +v0x559bc9e540c0_0 .net "and1", 0 0, L_0x559bc9e8f450; 1 drivers +v0x559bc9e54190_0 .net "and2", 0 0, L_0x559bc9e8f550; 1 drivers +v0x559bc9e54260_0 .net "xor1", 0 0, L_0x559bc9e8f4c0; 1 drivers +S_0x559bc9e53120 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e52f10; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f3470 .functor AND 1, L_0x556a362f3870, L_0x556a362f39e0, C4<1>, C4<1>; -L_0x556a362f34e0 .functor XOR 1, L_0x556a362f3870, L_0x556a362f39e0, C4<0>, C4<0>; -v0x556a362bf5e0_0 .net "A", 0 0, L_0x556a362f3870; alias, 1 drivers -v0x556a362bf6a0_0 .net "B", 0 0, L_0x556a362f39e0; alias, 1 drivers -v0x556a362bf760_0 .net "Carry", 0 0, L_0x556a362f3470; alias, 1 drivers -v0x556a362bf830_0 .net "Sum", 0 0, L_0x556a362f34e0; alias, 1 drivers -S_0x556a362bf9a0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362bf1c0; +L_0x559bc9e8f450 .functor AND 1, L_0x559bc9e8f850, L_0x559bc9e8f9c0, C4<1>, C4<1>; +L_0x559bc9e8f4c0 .functor XOR 1, L_0x559bc9e8f850, L_0x559bc9e8f9c0, C4<0>, C4<0>; +v0x559bc9e53330_0 .net "A", 0 0, L_0x559bc9e8f850; alias, 1 drivers +v0x559bc9e533f0_0 .net "B", 0 0, L_0x559bc9e8f9c0; alias, 1 drivers +v0x559bc9e534b0_0 .net "Carry", 0 0, L_0x559bc9e8f450; alias, 1 drivers +v0x559bc9e53580_0 .net "Sum", 0 0, L_0x559bc9e8f4c0; alias, 1 drivers +S_0x559bc9e536f0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e52f10; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f3570 .functor AND 1, L_0x556a362f34e0, L_0x556a362f3b10, C4<1>, C4<1>; -L_0x556a362f3700 .functor XOR 1, L_0x556a362f34e0, L_0x556a362f3b10, C4<0>, C4<0>; -v0x556a362bfba0_0 .net "A", 0 0, L_0x556a362f34e0; alias, 1 drivers -v0x556a362bfc70_0 .net "B", 0 0, L_0x556a362f3b10; alias, 1 drivers -v0x556a362bfd10_0 .net "Carry", 0 0, L_0x556a362f3570; alias, 1 drivers -v0x556a362bfde0_0 .net "Sum", 0 0, L_0x556a362f3700; alias, 1 drivers -S_0x556a362c0600 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x556a36287630; +L_0x559bc9e8f550 .functor AND 1, L_0x559bc9e8f4c0, L_0x559bc9e8faf0, C4<1>, C4<1>; +L_0x559bc9e8f6e0 .functor XOR 1, L_0x559bc9e8f4c0, L_0x559bc9e8faf0, C4<0>, C4<0>; +v0x559bc9e538f0_0 .net "A", 0 0, L_0x559bc9e8f4c0; alias, 1 drivers +v0x559bc9e539c0_0 .net "B", 0 0, L_0x559bc9e8faf0; alias, 1 drivers +v0x559bc9e53a60_0 .net "Carry", 0 0, L_0x559bc9e8f550; alias, 1 drivers +v0x559bc9e53b30_0 .net "Sum", 0 0, L_0x559bc9e8f6e0; alias, 1 drivers +S_0x559bc9e54350 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x559bc9e3cde0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a362f4220 .functor OR 1, L_0x556a362f3d80, L_0x556a362f3fb0, C4<0>, C4<0>; -v0x556a362c1380_0 .net "A", 0 0, L_0x556a362f42d0; 1 drivers -v0x556a362c1440_0 .net "B", 0 0, L_0x556a362f4460; 1 drivers -v0x556a362c1510_0 .net "Carry", 0 0, L_0x556a362f4590; 1 drivers -v0x556a362c1610_0 .net "CarryO", 0 0, L_0x556a362f4220; alias, 1 drivers -v0x556a362c16b0_0 .net "Sum", 0 0, L_0x556a362f4140; 1 drivers -v0x556a362c17a0_0 .net "and1", 0 0, L_0x556a362f3d80; 1 drivers -v0x556a362c1870_0 .net "and2", 0 0, L_0x556a362f3fb0; 1 drivers -v0x556a362c1940_0 .net "xor1", 0 0, L_0x556a362f3f20; 1 drivers -S_0x556a362c07e0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362c0600; +L_0x559bc9e90200 .functor OR 1, L_0x559bc9e8fd60, L_0x559bc9e8ff90, C4<0>, C4<0>; +v0x559bc9e550d0_0 .net "A", 0 0, L_0x559bc9e902b0; 1 drivers +v0x559bc9e55190_0 .net "B", 0 0, L_0x559bc9e90440; 1 drivers +v0x559bc9e55260_0 .net "Carry", 0 0, L_0x559bc9e90570; 1 drivers +v0x559bc9e55360_0 .net "CarryO", 0 0, L_0x559bc9e90200; alias, 1 drivers +v0x559bc9e55400_0 .net "Sum", 0 0, L_0x559bc9e90120; 1 drivers +v0x559bc9e554f0_0 .net "and1", 0 0, L_0x559bc9e8fd60; 1 drivers +v0x559bc9e555c0_0 .net "and2", 0 0, L_0x559bc9e8ff90; 1 drivers +v0x559bc9e55690_0 .net "xor1", 0 0, L_0x559bc9e8ff00; 1 drivers +S_0x559bc9e54530 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e54350; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f3d80 .functor AND 1, L_0x556a362f42d0, L_0x556a362f4460, C4<1>, C4<1>; -L_0x556a362f3f20 .functor XOR 1, L_0x556a362f42d0, L_0x556a362f4460, C4<0>, C4<0>; -v0x556a362c09f0_0 .net "A", 0 0, L_0x556a362f42d0; alias, 1 drivers -v0x556a362c0ad0_0 .net "B", 0 0, L_0x556a362f4460; alias, 1 drivers -v0x556a362c0b90_0 .net "Carry", 0 0, L_0x556a362f3d80; alias, 1 drivers -v0x556a362c0c60_0 .net "Sum", 0 0, L_0x556a362f3f20; alias, 1 drivers -S_0x556a362c0dd0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362c0600; +L_0x559bc9e8fd60 .functor AND 1, L_0x559bc9e902b0, L_0x559bc9e90440, C4<1>, C4<1>; +L_0x559bc9e8ff00 .functor XOR 1, L_0x559bc9e902b0, L_0x559bc9e90440, C4<0>, C4<0>; +v0x559bc9e54740_0 .net "A", 0 0, L_0x559bc9e902b0; alias, 1 drivers +v0x559bc9e54820_0 .net "B", 0 0, L_0x559bc9e90440; alias, 1 drivers +v0x559bc9e548e0_0 .net "Carry", 0 0, L_0x559bc9e8fd60; alias, 1 drivers +v0x559bc9e549b0_0 .net "Sum", 0 0, L_0x559bc9e8ff00; alias, 1 drivers +S_0x559bc9e54b20 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e54350; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a362f3fb0 .functor AND 1, L_0x556a362f3f20, L_0x556a362f4590, C4<1>, C4<1>; -L_0x556a362f4140 .functor XOR 1, L_0x556a362f3f20, L_0x556a362f4590, C4<0>, C4<0>; -v0x556a362c0fd0_0 .net "A", 0 0, L_0x556a362f3f20; alias, 1 drivers -v0x556a362c10a0_0 .net "B", 0 0, L_0x556a362f4590; alias, 1 drivers -v0x556a362c1140_0 .net "Carry", 0 0, L_0x556a362f3fb0; alias, 1 drivers -v0x556a362c1210_0 .net "Sum", 0 0, L_0x556a362f4140; alias, 1 drivers -S_0x556a362c21b0 .scope module, "s1" "subtraction" 4 14, 8 1 0, S_0x556a36224580; +L_0x559bc9e8ff90 .functor AND 1, L_0x559bc9e8ff00, L_0x559bc9e90570, C4<1>, C4<1>; +L_0x559bc9e90120 .functor XOR 1, L_0x559bc9e8ff00, L_0x559bc9e90570, C4<0>, C4<0>; +v0x559bc9e54d20_0 .net "A", 0 0, L_0x559bc9e8ff00; alias, 1 drivers +v0x559bc9e54df0_0 .net "B", 0 0, L_0x559bc9e90570; alias, 1 drivers +v0x559bc9e54e90_0 .net "Carry", 0 0, L_0x559bc9e8ff90; alias, 1 drivers +v0x559bc9e54f60_0 .net "Sum", 0 0, L_0x559bc9e90120; alias, 1 drivers +S_0x559bc9e55f00 .scope module, "s1" "subtraction" 4 14, 8 1 0, S_0x559bc9e3f180; .timescale 0 0; .port_info 0 /INPUT 4 "A"; .port_info 1 /INPUT 4 "B"; .port_info 2 /INPUT 1 "BorrowIN"; .port_info 3 /OUTPUT 4 "Y"; .port_info 4 /OUTPUT 1 "BorrowOUT"; -v0x556a362c7f50_0 .net "A", 3 0, v0x556a362f05a0_0; alias, 1 drivers -v0x556a362c8010_0 .net "B", 3 0, v0x556a362f0680_0; alias, 1 drivers -v0x556a362c80e0_0 .net "BorrowIN", 0 0, v0x556a362f0740_0; alias, 1 drivers -v0x556a362c81b0_0 .net "BorrowOUT", 0 0, L_0x556a362f6ae0; alias, 1 drivers -v0x556a362c8280_0 .net "Y", 3 0, L_0x556a362f6f80; alias, 1 drivers -o0x7fa150a8a358 .functor BUFZ 1, C4; HiZ drive -; Elide local net with no drivers, v0x556a362c8370_0 name=_ivl_39 -v0x556a362c8430_0 .net "tempB", 3 0, L_0x556a36315f20; 1 drivers -L_0x556a362f4fa0 .part v0x556a362f05a0_0, 0, 1; -L_0x556a362f50f0 .part v0x556a362f0680_0, 0, 1; -L_0x556a362f5830 .part v0x556a362f05a0_0, 1, 1; -L_0x556a362f5960 .part v0x556a362f0680_0, 1, 1; -L_0x556a362f5a90 .part L_0x556a36315f20, 0, 1; -L_0x556a362f6140 .part v0x556a362f05a0_0, 2, 1; -L_0x556a362f62b0 .part v0x556a362f0680_0, 2, 1; -L_0x556a362f63e0 .part L_0x556a36315f20, 1, 1; -L_0x556a362f6b90 .part v0x556a362f05a0_0, 3, 1; -L_0x556a362f6cc0 .part v0x556a362f0680_0, 3, 1; -L_0x556a362f6e50 .part L_0x556a36315f20, 2, 1; -L_0x556a362f6f80 .concat8 [ 1 1 1 1], L_0x556a362f4c30, L_0x556a362f5470, L_0x556a362f5d80, L_0x556a362f67b0; -L_0x556a36315f20 .concat [ 1 1 1 1], L_0x556a362f4f10, L_0x556a362f57a0, L_0x556a362f60b0, o0x7fa150a8a358; -S_0x556a362c23b0 .scope module, "f0" "fullsubtraction" 8 11, 9 1 0, S_0x556a362c21b0; +v0x559bc9e5bd30_0 .net "A", 3 0, v0x559bc9e8c570_0; alias, 1 drivers +v0x559bc9e5bdf0_0 .net "B", 3 0, v0x559bc9e8c650_0; alias, 1 drivers +v0x559bc9e5bec0_0 .net "BorrowIN", 0 0, v0x559bc9e8c710_0; alias, 1 drivers +v0x559bc9e5bf90_0 .net "BorrowOUT", 0 0, L_0x559bc9e92ac0; alias, 1 drivers +v0x559bc9e5c060_0 .net "Y", 3 0, L_0x559bc9e92f60; alias, 1 drivers +o0x7f2953811358 .functor BUFZ 1, C4; HiZ drive +; Elide local net with no drivers, v0x559bc9e5c150_0 name=_ivl_39 +v0x559bc9e5c210_0 .net "tempB", 3 0, L_0x559bc9eb8180; 1 drivers +L_0x559bc9e90f80 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e910d0 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e91810 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e91940 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e91a70 .part L_0x559bc9eb8180, 0, 1; +L_0x559bc9e92120 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e92290 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e923c0 .part L_0x559bc9eb8180, 1, 1; +L_0x559bc9e92b70 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e92ca0 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9e92e30 .part L_0x559bc9eb8180, 2, 1; +L_0x559bc9e92f60 .concat8 [ 1 1 1 1], L_0x559bc9e90c10, L_0x559bc9e91450, L_0x559bc9e91d60, L_0x559bc9e92790; +L_0x559bc9eb8180 .concat [ 1 1 1 1], L_0x559bc9e90ef0, L_0x559bc9e91780, L_0x559bc9e92090, o0x7f2953811358; +S_0x559bc9e56100 .scope module, "f0" "fullsubtraction" 8 11, 9 1 0, S_0x559bc9e55f00; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "BorrowIN"; .port_info 3 /OUTPUT 1 "Difference"; .port_info 4 /OUTPUT 1 "BorrowOut"; -L_0x556a362f4f10 .functor OR 1, L_0x556a362f4b70, L_0x556a362f4e50, C4<0>, C4<0>; -v0x556a362c3400_0 .net "A", 0 0, L_0x556a362f4fa0; 1 drivers -v0x556a362c34c0_0 .net "B", 0 0, L_0x556a362f50f0; 1 drivers -v0x556a362c3590_0 .net "BorrowIN", 0 0, v0x556a362f0740_0; alias, 1 drivers -v0x556a362c3660_0 .net "BorrowOut", 0 0, L_0x556a362f4f10; 1 drivers -v0x556a362c3700_0 .net "Difference", 0 0, L_0x556a362f4c30; 1 drivers -v0x556a362c37a0_0 .net "tempB1", 0 0, L_0x556a362f4b70; 1 drivers -v0x556a362c3870_0 .net "tempB2", 0 0, L_0x556a362f4e50; 1 drivers -v0x556a362c3940_0 .net "tempD", 0 0, L_0x556a362f4750; 1 drivers -S_0x556a362c25c0 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x556a362c23b0; +L_0x559bc9e90ef0 .functor OR 1, L_0x559bc9e90b50, L_0x559bc9e90e30, C4<0>, C4<0>; +v0x559bc9e57150_0 .net "A", 0 0, L_0x559bc9e90f80; 1 drivers +v0x559bc9e57210_0 .net "B", 0 0, L_0x559bc9e910d0; 1 drivers +v0x559bc9e572e0_0 .net "BorrowIN", 0 0, v0x559bc9e8c710_0; alias, 1 drivers +v0x559bc9e57440_0 .net "BorrowOut", 0 0, L_0x559bc9e90ef0; 1 drivers +v0x559bc9e574e0_0 .net "Difference", 0 0, L_0x559bc9e90c10; 1 drivers +v0x559bc9e57580_0 .net "tempB1", 0 0, L_0x559bc9e90b50; 1 drivers +v0x559bc9e57650_0 .net "tempB2", 0 0, L_0x559bc9e90e30; 1 drivers +v0x559bc9e57720_0 .net "tempD", 0 0, L_0x559bc9e90730; 1 drivers +S_0x559bc9e56310 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x559bc9e56100; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f4750 .functor XOR 1, L_0x556a362f4fa0, L_0x556a362f50f0, C4<0>, C4<0>; -L_0x556a362f4ae0 .functor NOT 1, L_0x556a362f4fa0, C4<0>, C4<0>, C4<0>; -L_0x556a362f4b70 .functor AND 1, L_0x556a362f4ae0, L_0x556a362f50f0, C4<1>, C4<1>; -v0x556a362c2860_0 .net "A", 0 0, L_0x556a362f4fa0; alias, 1 drivers -v0x556a362c2940_0 .net "B", 0 0, L_0x556a362f50f0; alias, 1 drivers -v0x556a362c2a00_0 .net "Borrow", 0 0, L_0x556a362f4b70; alias, 1 drivers -v0x556a362c2ad0_0 .net "Difference", 0 0, L_0x556a362f4750; alias, 1 drivers -v0x556a362c2b90_0 .net "notA", 0 0, L_0x556a362f4ae0; 1 drivers -S_0x556a362c2d20 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x556a362c23b0; +L_0x559bc9e90730 .functor XOR 1, L_0x559bc9e90f80, L_0x559bc9e910d0, C4<0>, C4<0>; +L_0x559bc9e90ac0 .functor NOT 1, L_0x559bc9e90f80, C4<0>, C4<0>, C4<0>; +L_0x559bc9e90b50 .functor AND 1, L_0x559bc9e90ac0, L_0x559bc9e910d0, C4<1>, C4<1>; +v0x559bc9e565b0_0 .net "A", 0 0, L_0x559bc9e90f80; alias, 1 drivers +v0x559bc9e56690_0 .net "B", 0 0, L_0x559bc9e910d0; alias, 1 drivers +v0x559bc9e56750_0 .net "Borrow", 0 0, L_0x559bc9e90b50; alias, 1 drivers +v0x559bc9e56820_0 .net "Difference", 0 0, L_0x559bc9e90730; alias, 1 drivers +v0x559bc9e568e0_0 .net "notA", 0 0, L_0x559bc9e90ac0; 1 drivers +S_0x559bc9e56a70 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x559bc9e56100; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f4c30 .functor XOR 1, L_0x556a362f4750, v0x556a362f0740_0, C4<0>, C4<0>; -L_0x556a362f4dc0 .functor NOT 1, L_0x556a362f4750, C4<0>, C4<0>, C4<0>; -L_0x556a362f4e50 .functor AND 1, L_0x556a362f4dc0, v0x556a362f0740_0, C4<1>, C4<1>; -v0x556a362c2f90_0 .net "A", 0 0, L_0x556a362f4750; alias, 1 drivers -v0x556a362c3060_0 .net "B", 0 0, v0x556a362f0740_0; alias, 1 drivers -v0x556a362c3100_0 .net "Borrow", 0 0, L_0x556a362f4e50; alias, 1 drivers -v0x556a362c31d0_0 .net "Difference", 0 0, L_0x556a362f4c30; alias, 1 drivers -v0x556a362c3270_0 .net "notA", 0 0, L_0x556a362f4dc0; 1 drivers -S_0x556a362c3a30 .scope module, "f1" "fullsubtraction" 8 12, 9 1 0, S_0x556a362c21b0; +L_0x559bc9e90c10 .functor XOR 1, L_0x559bc9e90730, v0x559bc9e8c710_0, C4<0>, C4<0>; +L_0x559bc9e90da0 .functor NOT 1, L_0x559bc9e90730, C4<0>, C4<0>, C4<0>; +L_0x559bc9e90e30 .functor AND 1, L_0x559bc9e90da0, v0x559bc9e8c710_0, C4<1>, C4<1>; +v0x559bc9e56ce0_0 .net "A", 0 0, L_0x559bc9e90730; alias, 1 drivers +v0x559bc9e56db0_0 .net "B", 0 0, v0x559bc9e8c710_0; alias, 1 drivers +v0x559bc9e56e50_0 .net "Borrow", 0 0, L_0x559bc9e90e30; alias, 1 drivers +v0x559bc9e56f20_0 .net "Difference", 0 0, L_0x559bc9e90c10; alias, 1 drivers +v0x559bc9e56fc0_0 .net "notA", 0 0, L_0x559bc9e90da0; 1 drivers +S_0x559bc9e57810 .scope module, "f1" "fullsubtraction" 8 12, 9 1 0, S_0x559bc9e55f00; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "BorrowIN"; .port_info 3 /OUTPUT 1 "Difference"; .port_info 4 /OUTPUT 1 "BorrowOut"; -L_0x556a362f57a0 .functor OR 1, L_0x556a362f5360, L_0x556a362f5690, C4<0>, C4<0>; -v0x556a362c4a70_0 .net "A", 0 0, L_0x556a362f5830; 1 drivers -v0x556a362c4b30_0 .net "B", 0 0, L_0x556a362f5960; 1 drivers -v0x556a362c4c00_0 .net "BorrowIN", 0 0, L_0x556a362f5a90; 1 drivers -v0x556a362c4d00_0 .net "BorrowOut", 0 0, L_0x556a362f57a0; 1 drivers -v0x556a362c4da0_0 .net "Difference", 0 0, L_0x556a362f5470; 1 drivers -v0x556a362c4e90_0 .net "tempB1", 0 0, L_0x556a362f5360; 1 drivers -v0x556a362c4f60_0 .net "tempB2", 0 0, L_0x556a362f5690; 1 drivers -v0x556a362c5030_0 .net "tempD", 0 0, L_0x556a362f5220; 1 drivers -S_0x556a362c3c30 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x556a362c3a30; +L_0x559bc9e91780 .functor OR 1, L_0x559bc9e91340, L_0x559bc9e91670, C4<0>, C4<0>; +v0x559bc9e58850_0 .net "A", 0 0, L_0x559bc9e91810; 1 drivers +v0x559bc9e58910_0 .net "B", 0 0, L_0x559bc9e91940; 1 drivers +v0x559bc9e589e0_0 .net "BorrowIN", 0 0, L_0x559bc9e91a70; 1 drivers +v0x559bc9e58ae0_0 .net "BorrowOut", 0 0, L_0x559bc9e91780; 1 drivers +v0x559bc9e58b80_0 .net "Difference", 0 0, L_0x559bc9e91450; 1 drivers +v0x559bc9e58c70_0 .net "tempB1", 0 0, L_0x559bc9e91340; 1 drivers +v0x559bc9e58d40_0 .net "tempB2", 0 0, L_0x559bc9e91670; 1 drivers +v0x559bc9e58e10_0 .net "tempD", 0 0, L_0x559bc9e91200; 1 drivers +S_0x559bc9e57a10 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x559bc9e57810; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f5220 .functor XOR 1, L_0x556a362f5830, L_0x556a362f5960, C4<0>, C4<0>; -L_0x556a362f52d0 .functor NOT 1, L_0x556a362f5830, C4<0>, C4<0>, C4<0>; -L_0x556a362f5360 .functor AND 1, L_0x556a362f52d0, L_0x556a362f5960, C4<1>, C4<1>; -v0x556a362c3eb0_0 .net "A", 0 0, L_0x556a362f5830; alias, 1 drivers -v0x556a362c3f90_0 .net "B", 0 0, L_0x556a362f5960; alias, 1 drivers -v0x556a362c4050_0 .net "Borrow", 0 0, L_0x556a362f5360; alias, 1 drivers -v0x556a362c4120_0 .net "Difference", 0 0, L_0x556a362f5220; alias, 1 drivers -v0x556a362c41e0_0 .net "notA", 0 0, L_0x556a362f52d0; 1 drivers -S_0x556a362c4370 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x556a362c3a30; +L_0x559bc9e91200 .functor XOR 1, L_0x559bc9e91810, L_0x559bc9e91940, C4<0>, C4<0>; +L_0x559bc9e912b0 .functor NOT 1, L_0x559bc9e91810, C4<0>, C4<0>, C4<0>; +L_0x559bc9e91340 .functor AND 1, L_0x559bc9e912b0, L_0x559bc9e91940, C4<1>, C4<1>; +v0x559bc9e57c90_0 .net "A", 0 0, L_0x559bc9e91810; alias, 1 drivers +v0x559bc9e57d70_0 .net "B", 0 0, L_0x559bc9e91940; alias, 1 drivers +v0x559bc9e57e30_0 .net "Borrow", 0 0, L_0x559bc9e91340; alias, 1 drivers +v0x559bc9e57f00_0 .net "Difference", 0 0, L_0x559bc9e91200; alias, 1 drivers +v0x559bc9e57fc0_0 .net "notA", 0 0, L_0x559bc9e912b0; 1 drivers +S_0x559bc9e58150 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x559bc9e57810; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f5470 .functor XOR 1, L_0x556a362f5220, L_0x556a362f5a90, C4<0>, C4<0>; -L_0x556a362f5600 .functor NOT 1, L_0x556a362f5220, C4<0>, C4<0>, C4<0>; -L_0x556a362f5690 .functor AND 1, L_0x556a362f5600, L_0x556a362f5a90, C4<1>, C4<1>; -v0x556a362c45e0_0 .net "A", 0 0, L_0x556a362f5220; alias, 1 drivers -v0x556a362c46b0_0 .net "B", 0 0, L_0x556a362f5a90; alias, 1 drivers -v0x556a362c4750_0 .net "Borrow", 0 0, L_0x556a362f5690; alias, 1 drivers -v0x556a362c4820_0 .net "Difference", 0 0, L_0x556a362f5470; alias, 1 drivers -v0x556a362c48e0_0 .net "notA", 0 0, L_0x556a362f5600; 1 drivers -S_0x556a362c5120 .scope module, "f2" "fullsubtraction" 8 13, 9 1 0, S_0x556a362c21b0; +L_0x559bc9e91450 .functor XOR 1, L_0x559bc9e91200, L_0x559bc9e91a70, C4<0>, C4<0>; +L_0x559bc9e915e0 .functor NOT 1, L_0x559bc9e91200, C4<0>, C4<0>, C4<0>; +L_0x559bc9e91670 .functor AND 1, L_0x559bc9e915e0, L_0x559bc9e91a70, C4<1>, C4<1>; +v0x559bc9e583c0_0 .net "A", 0 0, L_0x559bc9e91200; alias, 1 drivers +v0x559bc9e58490_0 .net "B", 0 0, L_0x559bc9e91a70; alias, 1 drivers +v0x559bc9e58530_0 .net "Borrow", 0 0, L_0x559bc9e91670; alias, 1 drivers +v0x559bc9e58600_0 .net "Difference", 0 0, L_0x559bc9e91450; alias, 1 drivers +v0x559bc9e586c0_0 .net "notA", 0 0, L_0x559bc9e915e0; 1 drivers +S_0x559bc9e58f00 .scope module, "f2" "fullsubtraction" 8 13, 9 1 0, S_0x559bc9e55f00; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "BorrowIN"; .port_info 3 /OUTPUT 1 "Difference"; .port_info 4 /OUTPUT 1 "BorrowOut"; -L_0x556a362f60b0 .functor OR 1, L_0x556a362f5cc0, L_0x556a362f5fa0, C4<0>, C4<0>; -v0x556a362c6150_0 .net "A", 0 0, L_0x556a362f6140; 1 drivers -v0x556a362c6210_0 .net "B", 0 0, L_0x556a362f62b0; 1 drivers -v0x556a362c62e0_0 .net "BorrowIN", 0 0, L_0x556a362f63e0; 1 drivers -v0x556a362c63e0_0 .net "BorrowOut", 0 0, L_0x556a362f60b0; 1 drivers -v0x556a362c6480_0 .net "Difference", 0 0, L_0x556a362f5d80; 1 drivers -v0x556a362c6570_0 .net "tempB1", 0 0, L_0x556a362f5cc0; 1 drivers -v0x556a362c6640_0 .net "tempB2", 0 0, L_0x556a362f5fa0; 1 drivers -v0x556a362c6710_0 .net "tempD", 0 0, L_0x556a362f5bc0; 1 drivers -S_0x556a362c5330 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x556a362c5120; +L_0x559bc9e92090 .functor OR 1, L_0x559bc9e91ca0, L_0x559bc9e91f80, C4<0>, C4<0>; +v0x559bc9e59f30_0 .net "A", 0 0, L_0x559bc9e92120; 1 drivers +v0x559bc9e59ff0_0 .net "B", 0 0, L_0x559bc9e92290; 1 drivers +v0x559bc9e5a0c0_0 .net "BorrowIN", 0 0, L_0x559bc9e923c0; 1 drivers +v0x559bc9e5a1c0_0 .net "BorrowOut", 0 0, L_0x559bc9e92090; 1 drivers +v0x559bc9e5a260_0 .net "Difference", 0 0, L_0x559bc9e91d60; 1 drivers +v0x559bc9e5a350_0 .net "tempB1", 0 0, L_0x559bc9e91ca0; 1 drivers +v0x559bc9e5a420_0 .net "tempB2", 0 0, L_0x559bc9e91f80; 1 drivers +v0x559bc9e5a4f0_0 .net "tempD", 0 0, L_0x559bc9e91ba0; 1 drivers +S_0x559bc9e59110 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x559bc9e58f00; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f5bc0 .functor XOR 1, L_0x556a362f6140, L_0x556a362f62b0, C4<0>, C4<0>; -L_0x556a362f5c30 .functor NOT 1, L_0x556a362f6140, C4<0>, C4<0>, C4<0>; -L_0x556a362f5cc0 .functor AND 1, L_0x556a362f5c30, L_0x556a362f62b0, C4<1>, C4<1>; -v0x556a362c55b0_0 .net "A", 0 0, L_0x556a362f6140; alias, 1 drivers -v0x556a362c5670_0 .net "B", 0 0, L_0x556a362f62b0; alias, 1 drivers -v0x556a362c5730_0 .net "Borrow", 0 0, L_0x556a362f5cc0; alias, 1 drivers -v0x556a362c5800_0 .net "Difference", 0 0, L_0x556a362f5bc0; alias, 1 drivers -v0x556a362c58c0_0 .net "notA", 0 0, L_0x556a362f5c30; 1 drivers -S_0x556a362c5a50 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x556a362c5120; +L_0x559bc9e91ba0 .functor XOR 1, L_0x559bc9e92120, L_0x559bc9e92290, C4<0>, C4<0>; +L_0x559bc9e91c10 .functor NOT 1, L_0x559bc9e92120, C4<0>, C4<0>, C4<0>; +L_0x559bc9e91ca0 .functor AND 1, L_0x559bc9e91c10, L_0x559bc9e92290, C4<1>, C4<1>; +v0x559bc9e59390_0 .net "A", 0 0, L_0x559bc9e92120; alias, 1 drivers +v0x559bc9e59450_0 .net "B", 0 0, L_0x559bc9e92290; alias, 1 drivers +v0x559bc9e59510_0 .net "Borrow", 0 0, L_0x559bc9e91ca0; alias, 1 drivers +v0x559bc9e595e0_0 .net "Difference", 0 0, L_0x559bc9e91ba0; alias, 1 drivers +v0x559bc9e596a0_0 .net "notA", 0 0, L_0x559bc9e91c10; 1 drivers +S_0x559bc9e59830 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x559bc9e58f00; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f5d80 .functor XOR 1, L_0x556a362f5bc0, L_0x556a362f63e0, C4<0>, C4<0>; -L_0x556a362f5f10 .functor NOT 1, L_0x556a362f5bc0, C4<0>, C4<0>, C4<0>; -L_0x556a362f5fa0 .functor AND 1, L_0x556a362f5f10, L_0x556a362f63e0, C4<1>, C4<1>; -v0x556a362c5cc0_0 .net "A", 0 0, L_0x556a362f5bc0; alias, 1 drivers -v0x556a362c5d90_0 .net "B", 0 0, L_0x556a362f63e0; alias, 1 drivers -v0x556a362c5e30_0 .net "Borrow", 0 0, L_0x556a362f5fa0; alias, 1 drivers -v0x556a362c5f00_0 .net "Difference", 0 0, L_0x556a362f5d80; alias, 1 drivers -v0x556a362c5fc0_0 .net "notA", 0 0, L_0x556a362f5f10; 1 drivers -S_0x556a362c6800 .scope module, "f3" "fullsubtraction" 8 14, 9 1 0, S_0x556a362c21b0; +L_0x559bc9e91d60 .functor XOR 1, L_0x559bc9e91ba0, L_0x559bc9e923c0, C4<0>, C4<0>; +L_0x559bc9e91ef0 .functor NOT 1, L_0x559bc9e91ba0, C4<0>, C4<0>, C4<0>; +L_0x559bc9e91f80 .functor AND 1, L_0x559bc9e91ef0, L_0x559bc9e923c0, C4<1>, C4<1>; +v0x559bc9e59aa0_0 .net "A", 0 0, L_0x559bc9e91ba0; alias, 1 drivers +v0x559bc9e59b70_0 .net "B", 0 0, L_0x559bc9e923c0; alias, 1 drivers +v0x559bc9e59c10_0 .net "Borrow", 0 0, L_0x559bc9e91f80; alias, 1 drivers +v0x559bc9e59ce0_0 .net "Difference", 0 0, L_0x559bc9e91d60; alias, 1 drivers +v0x559bc9e59da0_0 .net "notA", 0 0, L_0x559bc9e91ef0; 1 drivers +S_0x559bc9e5a5e0 .scope module, "f3" "fullsubtraction" 8 14, 9 1 0, S_0x559bc9e55f00; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "BorrowIN"; .port_info 3 /OUTPUT 1 "Difference"; .port_info 4 /OUTPUT 1 "BorrowOut"; -L_0x556a362f6ae0 .functor OR 1, L_0x556a362f66a0, L_0x556a362f69d0, C4<0>, C4<0>; -v0x556a362c78a0_0 .net "A", 0 0, L_0x556a362f6b90; 1 drivers -v0x556a362c7960_0 .net "B", 0 0, L_0x556a362f6cc0; 1 drivers -v0x556a362c7a30_0 .net "BorrowIN", 0 0, L_0x556a362f6e50; 1 drivers -v0x556a362c7b30_0 .net "BorrowOut", 0 0, L_0x556a362f6ae0; alias, 1 drivers -v0x556a362c7bd0_0 .net "Difference", 0 0, L_0x556a362f67b0; 1 drivers -v0x556a362c7cc0_0 .net "tempB1", 0 0, L_0x556a362f66a0; 1 drivers -v0x556a362c7d90_0 .net "tempB2", 0 0, L_0x556a362f69d0; 1 drivers -v0x556a362c7e60_0 .net "tempD", 0 0, L_0x556a362f6560; 1 drivers -S_0x556a362c6a60 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x556a362c6800; +L_0x559bc9e92ac0 .functor OR 1, L_0x559bc9e92680, L_0x559bc9e929b0, C4<0>, C4<0>; +v0x559bc9e5b680_0 .net "A", 0 0, L_0x559bc9e92b70; 1 drivers +v0x559bc9e5b740_0 .net "B", 0 0, L_0x559bc9e92ca0; 1 drivers +v0x559bc9e5b810_0 .net "BorrowIN", 0 0, L_0x559bc9e92e30; 1 drivers +v0x559bc9e5b910_0 .net "BorrowOut", 0 0, L_0x559bc9e92ac0; alias, 1 drivers +v0x559bc9e5b9b0_0 .net "Difference", 0 0, L_0x559bc9e92790; 1 drivers +v0x559bc9e5baa0_0 .net "tempB1", 0 0, L_0x559bc9e92680; 1 drivers +v0x559bc9e5bb70_0 .net "tempB2", 0 0, L_0x559bc9e929b0; 1 drivers +v0x559bc9e5bc40_0 .net "tempD", 0 0, L_0x559bc9e92540; 1 drivers +S_0x559bc9e5a840 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x559bc9e5a5e0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f6560 .functor XOR 1, L_0x556a362f6b90, L_0x556a362f6cc0, C4<0>, C4<0>; -L_0x556a362f6610 .functor NOT 1, L_0x556a362f6b90, C4<0>, C4<0>, C4<0>; -L_0x556a362f66a0 .functor AND 1, L_0x556a362f6610, L_0x556a362f6cc0, C4<1>, C4<1>; -v0x556a362c6ce0_0 .net "A", 0 0, L_0x556a362f6b90; alias, 1 drivers -v0x556a362c6dc0_0 .net "B", 0 0, L_0x556a362f6cc0; alias, 1 drivers -v0x556a362c6e80_0 .net "Borrow", 0 0, L_0x556a362f66a0; alias, 1 drivers -v0x556a362c6f50_0 .net "Difference", 0 0, L_0x556a362f6560; alias, 1 drivers -v0x556a362c7010_0 .net "notA", 0 0, L_0x556a362f6610; 1 drivers -S_0x556a362c71a0 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x556a362c6800; +L_0x559bc9e92540 .functor XOR 1, L_0x559bc9e92b70, L_0x559bc9e92ca0, C4<0>, C4<0>; +L_0x559bc9e925f0 .functor NOT 1, L_0x559bc9e92b70, C4<0>, C4<0>, C4<0>; +L_0x559bc9e92680 .functor AND 1, L_0x559bc9e925f0, L_0x559bc9e92ca0, C4<1>, C4<1>; +v0x559bc9e5aac0_0 .net "A", 0 0, L_0x559bc9e92b70; alias, 1 drivers +v0x559bc9e5aba0_0 .net "B", 0 0, L_0x559bc9e92ca0; alias, 1 drivers +v0x559bc9e5ac60_0 .net "Borrow", 0 0, L_0x559bc9e92680; alias, 1 drivers +v0x559bc9e5ad30_0 .net "Difference", 0 0, L_0x559bc9e92540; alias, 1 drivers +v0x559bc9e5adf0_0 .net "notA", 0 0, L_0x559bc9e925f0; 1 drivers +S_0x559bc9e5af80 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x559bc9e5a5e0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Difference"; .port_info 3 /OUTPUT 1 "Borrow"; -L_0x556a362f67b0 .functor XOR 1, L_0x556a362f6560, L_0x556a362f6e50, C4<0>, C4<0>; -L_0x556a362f6940 .functor NOT 1, L_0x556a362f6560, C4<0>, C4<0>, C4<0>; -L_0x556a362f69d0 .functor AND 1, L_0x556a362f6940, L_0x556a362f6e50, C4<1>, C4<1>; -v0x556a362c7410_0 .net "A", 0 0, L_0x556a362f6560; alias, 1 drivers -v0x556a362c74e0_0 .net "B", 0 0, L_0x556a362f6e50; alias, 1 drivers -v0x556a362c7580_0 .net "Borrow", 0 0, L_0x556a362f69d0; alias, 1 drivers -v0x556a362c7650_0 .net "Difference", 0 0, L_0x556a362f67b0; alias, 1 drivers -v0x556a362c7710_0 .net "notA", 0 0, L_0x556a362f6940; 1 drivers -S_0x556a362ca710 .scope module, "lU" "logicUnit" 3 21, 11 1 0, S_0x556a36224760; +L_0x559bc9e92790 .functor XOR 1, L_0x559bc9e92540, L_0x559bc9e92e30, C4<0>, C4<0>; +L_0x559bc9e92920 .functor NOT 1, L_0x559bc9e92540, C4<0>, C4<0>, C4<0>; +L_0x559bc9e929b0 .functor AND 1, L_0x559bc9e92920, L_0x559bc9e92e30, C4<1>, C4<1>; +v0x559bc9e5b1f0_0 .net "A", 0 0, L_0x559bc9e92540; alias, 1 drivers +v0x559bc9e5b2c0_0 .net "B", 0 0, L_0x559bc9e92e30; alias, 1 drivers +v0x559bc9e5b360_0 .net "Borrow", 0 0, L_0x559bc9e929b0; alias, 1 drivers +v0x559bc9e5b430_0 .net "Difference", 0 0, L_0x559bc9e92790; alias, 1 drivers +v0x559bc9e5b4f0_0 .net "notA", 0 0, L_0x559bc9e92920; 1 drivers +S_0x559bc9e5e4f0 .scope module, "btod1" "BinaryToBCD" 3 76, 11 1 0, S_0x559bc9e41bb0; + .timescale 0 0; + .port_info 0 /INPUT 8 "binary"; + .port_info 1 /OUTPUT 12 "bcd"; +L_0x7f29537c6450 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f29537c6498 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb2080 .functor AND 1, L_0x7f29537c6450, L_0x7f29537c6498, C4<1>, C4<1>; +L_0x7f29537c64e0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f29537c6528 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb21e0 .functor AND 1, L_0x7f29537c64e0, L_0x7f29537c6528, C4<1>, C4<1>; +L_0x7f29537c6570 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f29537c65b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb2340 .functor AND 1, L_0x7f29537c6570, L_0x7f29537c65b8, C4<1>, C4<1>; +L_0x7f29537c6600 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f29537c6648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb2450 .functor AND 1, L_0x7f29537c6600, L_0x7f29537c6648, C4<1>, C4<1>; +L_0x7f29537c6690 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb7f60 .functor OR 1, L_0x559bc9eb7fd0, L_0x7f29537c6690, C4<0>, C4<0>; +v0x559bc9e65020_0 .net/2u *"_ivl_0", 0 0, L_0x7f29537c6450; 1 drivers +v0x559bc9e65120_0 .net/2u *"_ivl_10", 0 0, L_0x7f29537c6570; 1 drivers +v0x559bc9e65200_0 .net/2u *"_ivl_12", 0 0, L_0x7f29537c65b8; 1 drivers +v0x559bc9e652c0_0 .net *"_ivl_132", 0 0, L_0x559bc9eb7f60; 1 drivers +v0x559bc9e653a0_0 .net *"_ivl_136", 0 0, L_0x559bc9eb7fd0; 1 drivers +v0x559bc9e65480_0 .net/2u *"_ivl_137", 0 0, L_0x7f29537c6690; 1 drivers +v0x559bc9e65560_0 .net *"_ivl_14", 0 0, L_0x559bc9eb2450; 1 drivers +o0x7f29538139c8 .functor BUFZ 1, C4; HiZ drive +; Elide local net with no drivers, v0x559bc9e65640_0 name=_ivl_141 +v0x559bc9e65720_0 .net/2u *"_ivl_16", 0 0, L_0x7f29537c6600; 1 drivers +v0x559bc9e65890_0 .net/2u *"_ivl_18", 0 0, L_0x7f29537c6648; 1 drivers +v0x559bc9e65970_0 .net/2u *"_ivl_2", 0 0, L_0x7f29537c6498; 1 drivers +v0x559bc9e65a50_0 .net/2u *"_ivl_4", 0 0, L_0x7f29537c64e0; 1 drivers +v0x559bc9e65b30_0 .net/2u *"_ivl_6", 0 0, L_0x7f29537c6528; 1 drivers +v0x559bc9e65c10_0 .net *"_ivl_8", 0 0, L_0x559bc9eb2340; 1 drivers +v0x559bc9e65cf0_0 .net "bcd", 11 0, L_0x559bc9eb7b80; alias, 1 drivers +v0x559bc9e65dd0_0 .net "binary", 7 0, L_0x559bc9eb1700; alias, 1 drivers +v0x559bc9e65eb0_0 .net "dab1", 3 0, L_0x559bc9eb2e20; 1 drivers +v0x559bc9e65f90_0 .net "dab2", 3 0, L_0x559bc9eb3b20; 1 drivers +v0x559bc9e66070_0 .net "dab3", 3 0, L_0x559bc9eb4900; 1 drivers +v0x559bc9e66150_0 .net "dab4", 3 0, L_0x559bc9eb82b0; 1 drivers +v0x559bc9e66230_0 .net "dab5", 3 0, L_0x559bc9eb6020; 1 drivers +v0x559bc9e66310_0 .net "empty1", 0 0, L_0x559bc9eb2080; 1 drivers +v0x559bc9e663b0_0 .net "empty2", 0 0, L_0x559bc9eb21e0; 1 drivers +L_0x559bc9eb2c40 .part L_0x559bc9eb1700, 7, 1; +L_0x559bc9eb2ce0 .part L_0x559bc9eb1700, 6, 1; +L_0x559bc9eb2d80 .part L_0x559bc9eb1700, 5, 1; +L_0x559bc9eb2e20 .concat8 [ 1 1 1 1], L_0x559bc9eb2880, L_0x559bc9eb29b0, L_0x559bc9eb2b10, L_0x559bc9eb2b80; +L_0x559bc9eb3730 .part L_0x559bc9eb2e20, 1, 1; +L_0x559bc9eb3860 .part L_0x559bc9eb2e20, 2, 1; +L_0x559bc9eb3900 .part L_0x559bc9eb2e20, 3, 1; +L_0x559bc9eb3a30 .part L_0x559bc9eb1700, 4, 1; +L_0x559bc9eb3b20 .concat8 [ 1 1 1 1], L_0x559bc9eb3370, L_0x559bc9eb34a0, L_0x559bc9eb3600, L_0x559bc9eb3670; +L_0x559bc9eb4490 .part L_0x559bc9eb3b20, 1, 1; +L_0x559bc9eb4620 .part L_0x559bc9eb3b20, 2, 1; +L_0x559bc9eb46c0 .part L_0x559bc9eb3b20, 3, 1; +L_0x559bc9eb4860 .part L_0x559bc9eb1700, 3, 1; +L_0x559bc9eb4900 .concat8 [ 1 1 1 1], L_0x559bc9eb4070, L_0x559bc9eb41a0, L_0x559bc9eb4300, L_0x559bc9eb4370; +L_0x559bc9eb51c0 .part L_0x559bc9eb2e20, 0, 1; +L_0x559bc9eb5260 .part L_0x559bc9eb3b20, 0, 1; +L_0x559bc9eb5390 .part L_0x559bc9eb4900, 0, 1; +L_0x559bc9eb5c60 .part L_0x559bc9eb4900, 1, 1; +L_0x559bc9eb5e30 .part L_0x559bc9eb4900, 2, 1; +L_0x559bc9eb5ed0 .part L_0x559bc9eb4900, 3, 1; +L_0x559bc9eb5d90 .part L_0x559bc9eb1700, 2, 1; +L_0x559bc9eb6020 .concat8 [ 1 1 1 1], L_0x559bc9eb5840, L_0x559bc9eb5970, L_0x559bc9eb5ad0, L_0x559bc9eb5b40; +L_0x559bc9eb6990 .part L_0x559bc9eb82b0, 1, 1; +L_0x559bc9eb6a30 .part L_0x559bc9eb82b0, 2, 1; +L_0x559bc9eb6ba0 .part L_0x559bc9eb82b0, 3, 1; +L_0x559bc9eb6c40 .part L_0x559bc9eb6020, 0, 1; +L_0x559bc9eb75f0 .part L_0x559bc9eb6020, 1, 1; +L_0x559bc9eb7720 .part L_0x559bc9eb6020, 2, 1; +L_0x559bc9eb7940 .part L_0x559bc9eb6020, 3, 1; +L_0x559bc9eb79e0 .part L_0x559bc9eb1700, 1, 1; +LS_0x559bc9eb7b80_0_0 .concat8 [ 1 1 1 1], L_0x559bc9eb7f60, L_0x559bc9eb74d0, L_0x559bc9eb7460, L_0x559bc9eb7300; +LS_0x559bc9eb7b80_0_4 .concat8 [ 1 1 1 1], L_0x559bc9eb71d0, L_0x559bc9eb6870, L_0x559bc9eb6800, L_0x559bc9eb66a0; +LS_0x559bc9eb7b80_0_8 .concat8 [ 1 1 1 1], L_0x559bc9eb6570, L_0x559bc9eb4e00, L_0x559bc9eb2450, L_0x559bc9eb2340; +L_0x559bc9eb7b80 .concat8 [ 4 4 4 0], LS_0x559bc9eb7b80_0_0, LS_0x559bc9eb7b80_0_4, LS_0x559bc9eb7b80_0_8; +L_0x559bc9eb7fd0 .part L_0x559bc9eb1700, 0, 1; +L_0x559bc9eb82b0 .concat [ 1 1 1 1], o0x7f29538139c8, L_0x559bc9eb4f30, L_0x559bc9eb5090, L_0x559bc9eb5100; +S_0x559bc9e5e6a0 .scope module, "d1t" "dabble" 11 14, 12 1 0, S_0x559bc9e5e4f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x559bc9eb2560 .functor XOR 1, L_0x559bc9eb2080, L_0x559bc9eb2d80, C4<0>, C4<0>; +L_0x559bc9eb25d0 .functor NOR 1, L_0x559bc9eb2080, L_0x559bc9eb2c40, C4<0>, C4<0>; +L_0x559bc9eb2640 .functor XOR 1, L_0x559bc9eb2080, L_0x559bc9eb2ce0, C4<0>, C4<0>; +L_0x559bc9eb26b0 .functor NOR 1, L_0x559bc9eb2560, L_0x559bc9eb2640, C4<0>, C4<0>; +L_0x559bc9eb2770 .functor NOR 1, L_0x559bc9eb26b0, L_0x559bc9eb25d0, C4<0>, C4<0>; +L_0x559bc9eb2880 .functor BUF 1, L_0x559bc9eb2770, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb2940 .functor OR 1, L_0x559bc9eb2560, L_0x559bc9eb25d0, C4<0>, C4<0>; +L_0x559bc9eb29b0 .functor NOR 1, L_0x559bc9eb2940, L_0x559bc9eb2ce0, C4<0>, C4<0>; +L_0x559bc9eb2b10 .functor AND 1, L_0x559bc9eb2940, L_0x559bc9eb2640, C4<1>, C4<1>; +L_0x559bc9eb2b80 .functor XOR 1, L_0x559bc9eb2770, L_0x559bc9eb2d80, C4<0>, C4<0>; +v0x559bc9e5e9c0_0 .net "A", 0 0, L_0x559bc9eb2080; alias, 1 drivers +v0x559bc9e5eaa0_0 .net "B", 0 0, L_0x559bc9eb2c40; 1 drivers +v0x559bc9e5eb60_0 .net "C", 0 0, L_0x559bc9eb2ce0; 1 drivers +v0x559bc9e5ec00_0 .net "D", 0 0, L_0x559bc9eb2d80; 1 drivers +v0x559bc9e5ecc0_0 .net "E", 0 0, L_0x559bc9eb2b80; 1 drivers +v0x559bc9e5edd0_0 .net "X", 0 0, L_0x559bc9eb2880; 1 drivers +v0x559bc9e5ee90_0 .net "Y", 0 0, L_0x559bc9eb29b0; 1 drivers +v0x559bc9e5ef50_0 .net "Z", 0 0, L_0x559bc9eb2b10; 1 drivers +v0x559bc9e5f010_0 .net "nor1", 0 0, L_0x559bc9eb25d0; 1 drivers +v0x559bc9e5f0d0_0 .net "nor2", 0 0, L_0x559bc9eb26b0; 1 drivers +v0x559bc9e5f190_0 .net "nor3", 0 0, L_0x559bc9eb2770; 1 drivers +v0x559bc9e5f250_0 .net "or1", 0 0, L_0x559bc9eb2940; 1 drivers +v0x559bc9e5f310_0 .net "xor1", 0 0, L_0x559bc9eb2560; 1 drivers +v0x559bc9e5f3d0_0 .net "xor2", 0 0, L_0x559bc9eb2640; 1 drivers +S_0x559bc9e5f590 .scope module, "d2u" "dabble" 11 23, 12 1 0, S_0x559bc9e5e4f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x559bc9eb2fb0 .functor XOR 1, L_0x559bc9eb3730, L_0x559bc9eb3a30, C4<0>, C4<0>; +L_0x559bc9eb3020 .functor NOR 1, L_0x559bc9eb3730, L_0x559bc9eb3860, C4<0>, C4<0>; +L_0x559bc9eb30e0 .functor XOR 1, L_0x559bc9eb3730, L_0x559bc9eb3900, C4<0>, C4<0>; +L_0x559bc9eb3150 .functor NOR 1, L_0x559bc9eb2fb0, L_0x559bc9eb30e0, C4<0>, C4<0>; +L_0x559bc9eb3260 .functor NOR 1, L_0x559bc9eb3150, L_0x559bc9eb3020, C4<0>, C4<0>; +L_0x559bc9eb3370 .functor BUF 1, L_0x559bc9eb3260, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb3430 .functor OR 1, L_0x559bc9eb2fb0, L_0x559bc9eb3020, C4<0>, C4<0>; +L_0x559bc9eb34a0 .functor NOR 1, L_0x559bc9eb3430, L_0x559bc9eb3900, C4<0>, C4<0>; +L_0x559bc9eb3600 .functor AND 1, L_0x559bc9eb3430, L_0x559bc9eb30e0, C4<1>, C4<1>; +L_0x559bc9eb3670 .functor XOR 1, L_0x559bc9eb3260, L_0x559bc9eb3a30, C4<0>, C4<0>; +v0x559bc9e5f860_0 .net "A", 0 0, L_0x559bc9eb3730; 1 drivers +v0x559bc9e5f920_0 .net "B", 0 0, L_0x559bc9eb3860; 1 drivers +v0x559bc9e5f9e0_0 .net "C", 0 0, L_0x559bc9eb3900; 1 drivers +v0x559bc9e5fa80_0 .net "D", 0 0, L_0x559bc9eb3a30; 1 drivers +v0x559bc9e5fb40_0 .net "E", 0 0, L_0x559bc9eb3670; 1 drivers +v0x559bc9e5fc50_0 .net "X", 0 0, L_0x559bc9eb3370; 1 drivers +v0x559bc9e5fd10_0 .net "Y", 0 0, L_0x559bc9eb34a0; 1 drivers +v0x559bc9e5fdd0_0 .net "Z", 0 0, L_0x559bc9eb3600; 1 drivers +v0x559bc9e5fe90_0 .net "nor1", 0 0, L_0x559bc9eb3020; 1 drivers +v0x559bc9e5ffe0_0 .net "nor2", 0 0, L_0x559bc9eb3150; 1 drivers +v0x559bc9e600a0_0 .net "nor3", 0 0, L_0x559bc9eb3260; 1 drivers +v0x559bc9e60160_0 .net "or1", 0 0, L_0x559bc9eb3430; 1 drivers +v0x559bc9e60220_0 .net "xor1", 0 0, L_0x559bc9eb2fb0; 1 drivers +v0x559bc9e602e0_0 .net "xor2", 0 0, L_0x559bc9eb30e0; 1 drivers +S_0x559bc9e604a0 .scope module, "d3v" "dabble" 11 32, 12 1 0, S_0x559bc9e5e4f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x559bc9eb3cb0 .functor XOR 1, L_0x559bc9eb4490, L_0x559bc9eb4860, C4<0>, C4<0>; +L_0x559bc9eb3d20 .functor NOR 1, L_0x559bc9eb4490, L_0x559bc9eb4620, C4<0>, C4<0>; +L_0x559bc9eb3de0 .functor XOR 1, L_0x559bc9eb4490, L_0x559bc9eb46c0, C4<0>, C4<0>; +L_0x559bc9eb3e50 .functor NOR 1, L_0x559bc9eb3cb0, L_0x559bc9eb3de0, C4<0>, C4<0>; +L_0x559bc9eb3f60 .functor NOR 1, L_0x559bc9eb3e50, L_0x559bc9eb3d20, C4<0>, C4<0>; +L_0x559bc9eb4070 .functor BUF 1, L_0x559bc9eb3f60, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb4130 .functor OR 1, L_0x559bc9eb3cb0, L_0x559bc9eb3d20, C4<0>, C4<0>; +L_0x559bc9eb41a0 .functor NOR 1, L_0x559bc9eb4130, L_0x559bc9eb46c0, C4<0>, C4<0>; +L_0x559bc9eb4300 .functor AND 1, L_0x559bc9eb4130, L_0x559bc9eb3de0, C4<1>, C4<1>; +L_0x559bc9eb4370 .functor XOR 1, L_0x559bc9eb3f60, L_0x559bc9eb4860, C4<0>, C4<0>; +v0x559bc9e60750_0 .net "A", 0 0, L_0x559bc9eb4490; 1 drivers +v0x559bc9e60810_0 .net "B", 0 0, L_0x559bc9eb4620; 1 drivers +v0x559bc9e608d0_0 .net "C", 0 0, L_0x559bc9eb46c0; 1 drivers +v0x559bc9e60970_0 .net "D", 0 0, L_0x559bc9eb4860; 1 drivers +v0x559bc9e60a30_0 .net "E", 0 0, L_0x559bc9eb4370; 1 drivers +v0x559bc9e60b40_0 .net "X", 0 0, L_0x559bc9eb4070; 1 drivers +v0x559bc9e60c00_0 .net "Y", 0 0, L_0x559bc9eb41a0; 1 drivers +v0x559bc9e60cc0_0 .net "Z", 0 0, L_0x559bc9eb4300; 1 drivers +v0x559bc9e60d80_0 .net "nor1", 0 0, L_0x559bc9eb3d20; 1 drivers +v0x559bc9e60ed0_0 .net "nor2", 0 0, L_0x559bc9eb3e50; 1 drivers +v0x559bc9e60f90_0 .net "nor3", 0 0, L_0x559bc9eb3f60; 1 drivers +v0x559bc9e61050_0 .net "or1", 0 0, L_0x559bc9eb4130; 1 drivers +v0x559bc9e61110_0 .net "xor1", 0 0, L_0x559bc9eb3cb0; 1 drivers +v0x559bc9e611d0_0 .net "xor2", 0 0, L_0x559bc9eb3de0; 1 drivers +S_0x559bc9e61390 .scope module, "d4w" "dabble" 11 41, 12 1 0, S_0x559bc9e5e4f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x559bc9eb47f0 .functor XOR 1, L_0x559bc9eb21e0, L_0x559bc9eb5390, C4<0>, C4<0>; +L_0x559bc9eb4ac0 .functor NOR 1, L_0x559bc9eb21e0, L_0x559bc9eb51c0, C4<0>, C4<0>; +L_0x559bc9eb4bc0 .functor XOR 1, L_0x559bc9eb21e0, L_0x559bc9eb5260, C4<0>, C4<0>; +L_0x559bc9eb4c30 .functor NOR 1, L_0x559bc9eb47f0, L_0x559bc9eb4bc0, C4<0>, C4<0>; +L_0x559bc9eb4cf0 .functor NOR 1, L_0x559bc9eb4c30, L_0x559bc9eb4ac0, C4<0>, C4<0>; +L_0x559bc9eb4e00 .functor BUF 1, L_0x559bc9eb4cf0, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb4ec0 .functor OR 1, L_0x559bc9eb47f0, L_0x559bc9eb4ac0, C4<0>, C4<0>; +L_0x559bc9eb4f30 .functor NOR 1, L_0x559bc9eb4ec0, L_0x559bc9eb5260, C4<0>, C4<0>; +L_0x559bc9eb5090 .functor AND 1, L_0x559bc9eb4ec0, L_0x559bc9eb4bc0, C4<1>, C4<1>; +L_0x559bc9eb5100 .functor XOR 1, L_0x559bc9eb4cf0, L_0x559bc9eb5390, C4<0>, C4<0>; +v0x559bc9e61640_0 .net "A", 0 0, L_0x559bc9eb21e0; alias, 1 drivers +v0x559bc9e61720_0 .net "B", 0 0, L_0x559bc9eb51c0; 1 drivers +v0x559bc9e617e0_0 .net "C", 0 0, L_0x559bc9eb5260; 1 drivers +v0x559bc9e61880_0 .net "D", 0 0, L_0x559bc9eb5390; 1 drivers +v0x559bc9e61940_0 .net "E", 0 0, L_0x559bc9eb5100; 1 drivers +v0x559bc9e61a50_0 .net "X", 0 0, L_0x559bc9eb4e00; 1 drivers +v0x559bc9e61b10_0 .net "Y", 0 0, L_0x559bc9eb4f30; 1 drivers +v0x559bc9e61bd0_0 .net "Z", 0 0, L_0x559bc9eb5090; 1 drivers +v0x559bc9e61c90_0 .net "nor1", 0 0, L_0x559bc9eb4ac0; 1 drivers +v0x559bc9e61de0_0 .net "nor2", 0 0, L_0x559bc9eb4c30; 1 drivers +v0x559bc9e61ea0_0 .net "nor3", 0 0, L_0x559bc9eb4cf0; 1 drivers +v0x559bc9e61f60_0 .net "or1", 0 0, L_0x559bc9eb4ec0; 1 drivers +v0x559bc9e62020_0 .net "xor1", 0 0, L_0x559bc9eb47f0; 1 drivers +v0x559bc9e620e0_0 .net "xor2", 0 0, L_0x559bc9eb4bc0; 1 drivers +S_0x559bc9e622a0 .scope module, "d5x" "dabble" 11 50, 12 1 0, S_0x559bc9e5e4f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x559bc9eb5480 .functor XOR 1, L_0x559bc9eb5c60, L_0x559bc9eb5d90, C4<0>, C4<0>; +L_0x559bc9eb54f0 .functor NOR 1, L_0x559bc9eb5c60, L_0x559bc9eb5e30, C4<0>, C4<0>; +L_0x559bc9eb55b0 .functor XOR 1, L_0x559bc9eb5c60, L_0x559bc9eb5ed0, C4<0>, C4<0>; +L_0x559bc9eb5620 .functor NOR 1, L_0x559bc9eb5480, L_0x559bc9eb55b0, C4<0>, C4<0>; +L_0x559bc9eb5730 .functor NOR 1, L_0x559bc9eb5620, L_0x559bc9eb54f0, C4<0>, C4<0>; +L_0x559bc9eb5840 .functor BUF 1, L_0x559bc9eb5730, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb5900 .functor OR 1, L_0x559bc9eb5480, L_0x559bc9eb54f0, C4<0>, C4<0>; +L_0x559bc9eb5970 .functor NOR 1, L_0x559bc9eb5900, L_0x559bc9eb5ed0, C4<0>, C4<0>; +L_0x559bc9eb5ad0 .functor AND 1, L_0x559bc9eb5900, L_0x559bc9eb55b0, C4<1>, C4<1>; +L_0x559bc9eb5b40 .functor XOR 1, L_0x559bc9eb5730, L_0x559bc9eb5d90, C4<0>, C4<0>; +v0x559bc9e625a0_0 .net "A", 0 0, L_0x559bc9eb5c60; 1 drivers +v0x559bc9e62680_0 .net "B", 0 0, L_0x559bc9eb5e30; 1 drivers +v0x559bc9e62740_0 .net "C", 0 0, L_0x559bc9eb5ed0; 1 drivers +v0x559bc9e627e0_0 .net "D", 0 0, L_0x559bc9eb5d90; 1 drivers +v0x559bc9e628a0_0 .net "E", 0 0, L_0x559bc9eb5b40; 1 drivers +v0x559bc9e629b0_0 .net "X", 0 0, L_0x559bc9eb5840; 1 drivers +v0x559bc9e62a70_0 .net "Y", 0 0, L_0x559bc9eb5970; 1 drivers +v0x559bc9e62b30_0 .net "Z", 0 0, L_0x559bc9eb5ad0; 1 drivers +v0x559bc9e62bf0_0 .net "nor1", 0 0, L_0x559bc9eb54f0; 1 drivers +v0x559bc9e62d40_0 .net "nor2", 0 0, L_0x559bc9eb5620; 1 drivers +v0x559bc9e62e00_0 .net "nor3", 0 0, L_0x559bc9eb5730; 1 drivers +v0x559bc9e62ec0_0 .net "or1", 0 0, L_0x559bc9eb5900; 1 drivers +v0x559bc9e62f80_0 .net "xor1", 0 0, L_0x559bc9eb5480; 1 drivers +v0x559bc9e63040_0 .net "xor2", 0 0, L_0x559bc9eb55b0; 1 drivers +S_0x559bc9e63200 .scope module, "d6y" "dabble" 11 59, 12 1 0, S_0x559bc9e5e4f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x559bc9eb5f70 .functor XOR 1, L_0x559bc9eb6990, L_0x559bc9eb6c40, C4<0>, C4<0>; +L_0x559bc9eb6220 .functor NOR 1, L_0x559bc9eb6990, L_0x559bc9eb6a30, C4<0>, C4<0>; +L_0x559bc9eb62e0 .functor XOR 1, L_0x559bc9eb6990, L_0x559bc9eb6ba0, C4<0>, C4<0>; +L_0x559bc9eb6350 .functor NOR 1, L_0x559bc9eb5f70, L_0x559bc9eb62e0, C4<0>, C4<0>; +L_0x559bc9eb6460 .functor NOR 1, L_0x559bc9eb6350, L_0x559bc9eb6220, C4<0>, C4<0>; +L_0x559bc9eb6570 .functor BUF 1, L_0x559bc9eb6460, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb6630 .functor OR 1, L_0x559bc9eb5f70, L_0x559bc9eb6220, C4<0>, C4<0>; +L_0x559bc9eb66a0 .functor NOR 1, L_0x559bc9eb6630, L_0x559bc9eb6ba0, C4<0>, C4<0>; +L_0x559bc9eb6800 .functor AND 1, L_0x559bc9eb6630, L_0x559bc9eb62e0, C4<1>, C4<1>; +L_0x559bc9eb6870 .functor XOR 1, L_0x559bc9eb6460, L_0x559bc9eb6c40, C4<0>, C4<0>; +v0x559bc9e634b0_0 .net "A", 0 0, L_0x559bc9eb6990; 1 drivers +v0x559bc9e63590_0 .net "B", 0 0, L_0x559bc9eb6a30; 1 drivers +v0x559bc9e63650_0 .net "C", 0 0, L_0x559bc9eb6ba0; 1 drivers +v0x559bc9e636f0_0 .net "D", 0 0, L_0x559bc9eb6c40; 1 drivers +v0x559bc9e637b0_0 .net "E", 0 0, L_0x559bc9eb6870; 1 drivers +v0x559bc9e638c0_0 .net "X", 0 0, L_0x559bc9eb6570; 1 drivers +v0x559bc9e63980_0 .net "Y", 0 0, L_0x559bc9eb66a0; 1 drivers +v0x559bc9e63a40_0 .net "Z", 0 0, L_0x559bc9eb6800; 1 drivers +v0x559bc9e63b00_0 .net "nor1", 0 0, L_0x559bc9eb6220; 1 drivers +v0x559bc9e63c50_0 .net "nor2", 0 0, L_0x559bc9eb6350; 1 drivers +v0x559bc9e63d10_0 .net "nor3", 0 0, L_0x559bc9eb6460; 1 drivers +v0x559bc9e63dd0_0 .net "or1", 0 0, L_0x559bc9eb6630; 1 drivers +v0x559bc9e63e90_0 .net "xor1", 0 0, L_0x559bc9eb5f70; 1 drivers +v0x559bc9e63f50_0 .net "xor2", 0 0, L_0x559bc9eb62e0; 1 drivers +S_0x559bc9e64110 .scope module, "d7z" "dabble" 11 68, 12 1 0, S_0x559bc9e5e4f0; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x559bc9eb6e10 .functor XOR 1, L_0x559bc9eb75f0, L_0x559bc9eb79e0, C4<0>, C4<0>; +L_0x559bc9eb6e80 .functor NOR 1, L_0x559bc9eb75f0, L_0x559bc9eb7720, C4<0>, C4<0>; +L_0x559bc9eb6f40 .functor XOR 1, L_0x559bc9eb75f0, L_0x559bc9eb7940, C4<0>, C4<0>; +L_0x559bc9eb6fb0 .functor NOR 1, L_0x559bc9eb6e10, L_0x559bc9eb6f40, C4<0>, C4<0>; +L_0x559bc9eb70c0 .functor NOR 1, L_0x559bc9eb6fb0, L_0x559bc9eb6e80, C4<0>, C4<0>; +L_0x559bc9eb71d0 .functor BUF 1, L_0x559bc9eb70c0, C4<0>, C4<0>, C4<0>; +L_0x559bc9eb7290 .functor OR 1, L_0x559bc9eb6e10, L_0x559bc9eb6e80, C4<0>, C4<0>; +L_0x559bc9eb7300 .functor NOR 1, L_0x559bc9eb7290, L_0x559bc9eb7940, C4<0>, C4<0>; +L_0x559bc9eb7460 .functor AND 1, L_0x559bc9eb7290, L_0x559bc9eb6f40, C4<1>, C4<1>; +L_0x559bc9eb74d0 .functor XOR 1, L_0x559bc9eb70c0, L_0x559bc9eb79e0, C4<0>, C4<0>; +v0x559bc9e643c0_0 .net "A", 0 0, L_0x559bc9eb75f0; 1 drivers +v0x559bc9e644a0_0 .net "B", 0 0, L_0x559bc9eb7720; 1 drivers +v0x559bc9e64560_0 .net "C", 0 0, L_0x559bc9eb7940; 1 drivers +v0x559bc9e64600_0 .net "D", 0 0, L_0x559bc9eb79e0; 1 drivers +v0x559bc9e646c0_0 .net "E", 0 0, L_0x559bc9eb74d0; 1 drivers +v0x559bc9e647d0_0 .net "X", 0 0, L_0x559bc9eb71d0; 1 drivers +v0x559bc9e64890_0 .net "Y", 0 0, L_0x559bc9eb7300; 1 drivers +v0x559bc9e64950_0 .net "Z", 0 0, L_0x559bc9eb7460; 1 drivers +v0x559bc9e64a10_0 .net "nor1", 0 0, L_0x559bc9eb6e80; 1 drivers +v0x559bc9e64b60_0 .net "nor2", 0 0, L_0x559bc9eb6fb0; 1 drivers +v0x559bc9e64c20_0 .net "nor3", 0 0, L_0x559bc9eb70c0; 1 drivers +v0x559bc9e64ce0_0 .net "or1", 0 0, L_0x559bc9eb7290; 1 drivers +v0x559bc9e64da0_0 .net "xor1", 0 0, L_0x559bc9eb6e10; 1 drivers +v0x559bc9e64e60_0 .net "xor2", 0 0, L_0x559bc9eb6f40; 1 drivers +S_0x559bc9e66470 .scope module, "lU" "logicUnit" 3 21, 13 1 0, S_0x559bc9e41bb0; .timescale 0 0; .port_info 0 /INPUT 3 "opCode"; .port_info 1 /INPUT 4 "A"; @@ -778,338 +1095,338 @@ S_0x556a362ca710 .scope module, "lU" "logicUnit" 3 21, 11 1 0, S_0x556a36224760; .port_info 3 /OUTPUT 4 "resultA"; .port_info 4 /OUTPUT 4 "resultO"; .port_info 5 /OUTPUT 4 "resultX"; -L_0x556a362f8d80 .functor AND 1, L_0x556a362f8df0, L_0x556a362f8e90, C4<1>, C4<1>; -L_0x556a362f8f30 .functor AND 1, L_0x556a362f8fa0, L_0x556a362f9090, C4<1>, C4<1>; -L_0x556a362f9390 .functor AND 1, L_0x556a362f9400, L_0x556a362f94f0, C4<1>, C4<1>; -L_0x556a362f97b0 .functor AND 1, L_0x556a362f9870, L_0x556a362f99b0, C4<1>, C4<1>; -L_0x556a362f9aa0 .functor OR 1, L_0x556a362f9b10, L_0x556a362f9c60, C4<0>, C4<0>; -L_0x556a362f9d00 .functor OR 1, L_0x556a362f9d70, L_0x556a362f9ed0, C4<0>, C4<0>; -L_0x556a362f9fc0 .functor OR 1, L_0x556a362fa030, L_0x556a362fa1a0, C4<0>, C4<0>; -L_0x556a362f9e60 .functor OR 1, L_0x556a362fa550, L_0x556a362fa640, C4<0>, C4<0>; -L_0x556a362fa7d0 .functor XOR 1, L_0x556a362fa840, L_0x556a362fa930, C4<0>, C4<0>; -L_0x556a362faad0 .functor XOR 1, L_0x556a362fa730, L_0x556a362faba0, C4<0>, C4<0>; -L_0x556a362fad50 .functor XOR 1, L_0x556a362fadc0, L_0x556a362faeb0, C4<0>, C4<0>; -L_0x556a362fb200 .functor XOR 1, L_0x556a362fb330, L_0x556a362fb500, C4<0>, C4<0>; -L_0x556a362fb5f0 .functor AND 1, L_0x556a362fb660, L_0x556a362fb840, C4<1>, C4<1>; -L_0x556a362fb980 .functor AND 1, L_0x556a362fba70, L_0x556a362fbcb0, C4<1>, C4<1>; -L_0x556a362fb2c0 .functor AND 1, L_0x556a362fbda0, L_0x556a362fbfa0, C4<1>, C4<1>; -L_0x556a362fc340 .functor AND 1, L_0x556a362fc490, L_0x556a362fc580, C4<1>, C4<1>; -L_0x556a362fc220 .functor AND 1, L_0x556a362fc290, L_0x556a362fc7a0, C4<1>, C4<1>; -L_0x556a362fca20 .functor AND 1, L_0x556a362fcb30, L_0x556a362fcc20, C4<1>, C4<1>; -L_0x556a362fce60 .functor AND 1, L_0x556a362fced0, L_0x556a362fcfc0, C4<1>, C4<1>; -L_0x556a362fd3a0 .functor AND 1, L_0x556a362fca90, L_0x556a362fd6d0, C4<1>, C4<1>; -L_0x556a362fd7c0 .functor AND 1, L_0x556a362fd830, L_0x556a362fdaa0, C4<1>, C4<1>; -L_0x556a362fdbe0 .functor AND 1, L_0x556a362fdd10, L_0x556a362fdf90, C4<1>, C4<1>; -L_0x556a362fe080 .functor AND 1, L_0x556a362fe0f0, L_0x556a362fe380, C4<1>, C4<1>; -L_0x556a362fe7b0 .functor AND 1, L_0x556a362fe940, L_0x556a362fea30, C4<1>, C4<1>; -v0x556a362ca960_0 .net "A", 3 0, v0x556a362f05a0_0; alias, 1 drivers -v0x556a362caa20_0 .net "B", 3 0, v0x556a362f0680_0; alias, 1 drivers -v0x556a362caae0_0 .net *"_ivl_0", 0 0, L_0x556a362f8d80; 1 drivers -v0x556a362caba0_0 .net *"_ivl_100", 0 0, L_0x556a362fc220; 1 drivers -v0x556a362cac80_0 .net *"_ivl_103", 0 0, L_0x556a362fc290; 1 drivers -v0x556a362cadb0_0 .net *"_ivl_105", 0 0, L_0x556a362fc7a0; 1 drivers -v0x556a362cae90_0 .net *"_ivl_106", 0 0, L_0x556a362fca20; 1 drivers -v0x556a362caf70_0 .net *"_ivl_109", 0 0, L_0x556a362fcb30; 1 drivers -v0x556a362cb050_0 .net *"_ivl_11", 0 0, L_0x556a362f9090; 1 drivers -v0x556a362cb130_0 .net *"_ivl_111", 0 0, L_0x556a362fcc20; 1 drivers -v0x556a362cb210_0 .net *"_ivl_112", 0 0, L_0x556a362fce60; 1 drivers -v0x556a362cb2f0_0 .net *"_ivl_115", 0 0, L_0x556a362fced0; 1 drivers -v0x556a362cb3d0_0 .net *"_ivl_117", 0 0, L_0x556a362fcfc0; 1 drivers -v0x556a362cb4b0_0 .net *"_ivl_118", 0 0, L_0x556a362fd3a0; 1 drivers -v0x556a362cb590_0 .net *"_ivl_12", 0 0, L_0x556a362f9390; 1 drivers -v0x556a362cb670_0 .net *"_ivl_122", 0 0, L_0x556a362fca90; 1 drivers -v0x556a362cb750_0 .net *"_ivl_124", 0 0, L_0x556a362fd6d0; 1 drivers -v0x556a362cb830_0 .net *"_ivl_125", 0 0, L_0x556a362fd7c0; 1 drivers -v0x556a362cb910_0 .net *"_ivl_128", 0 0, L_0x556a362fd830; 1 drivers -v0x556a362cb9f0_0 .net *"_ivl_130", 0 0, L_0x556a362fdaa0; 1 drivers -v0x556a362cbad0_0 .net *"_ivl_131", 0 0, L_0x556a362fdbe0; 1 drivers -v0x556a362cbbb0_0 .net *"_ivl_134", 0 0, L_0x556a362fdd10; 1 drivers -v0x556a362cbc90_0 .net *"_ivl_136", 0 0, L_0x556a362fdf90; 1 drivers -v0x556a362cbd70_0 .net *"_ivl_137", 0 0, L_0x556a362fe080; 1 drivers -v0x556a362cbe50_0 .net *"_ivl_140", 0 0, L_0x556a362fe0f0; 1 drivers -v0x556a362cbf30_0 .net *"_ivl_142", 0 0, L_0x556a362fe380; 1 drivers -v0x556a362cc010_0 .net *"_ivl_143", 0 0, L_0x556a362fe7b0; 1 drivers -v0x556a362cc0f0_0 .net *"_ivl_147", 0 0, L_0x556a362fe940; 1 drivers -v0x556a362cc1d0_0 .net *"_ivl_149", 0 0, L_0x556a362fea30; 1 drivers -v0x556a362cc2b0_0 .net *"_ivl_15", 0 0, L_0x556a362f9400; 1 drivers -v0x556a362cc390_0 .net *"_ivl_17", 0 0, L_0x556a362f94f0; 1 drivers -v0x556a362cc470_0 .net *"_ivl_18", 0 0, L_0x556a362f97b0; 1 drivers -v0x556a362cc550_0 .net *"_ivl_22", 0 0, L_0x556a362f9870; 1 drivers -v0x556a362cc840_0 .net *"_ivl_24", 0 0, L_0x556a362f99b0; 1 drivers -v0x556a362cc920_0 .net *"_ivl_25", 0 0, L_0x556a362f9aa0; 1 drivers -v0x556a362cca00_0 .net *"_ivl_28", 0 0, L_0x556a362f9b10; 1 drivers -v0x556a362ccae0_0 .net *"_ivl_3", 0 0, L_0x556a362f8df0; 1 drivers -v0x556a362ccbc0_0 .net *"_ivl_30", 0 0, L_0x556a362f9c60; 1 drivers -v0x556a362ccca0_0 .net *"_ivl_31", 0 0, L_0x556a362f9d00; 1 drivers -v0x556a362ccd80_0 .net *"_ivl_34", 0 0, L_0x556a362f9d70; 1 drivers -v0x556a362cce60_0 .net *"_ivl_36", 0 0, L_0x556a362f9ed0; 1 drivers -v0x556a362ccf40_0 .net *"_ivl_37", 0 0, L_0x556a362f9fc0; 1 drivers -v0x556a362cd020_0 .net *"_ivl_40", 0 0, L_0x556a362fa030; 1 drivers -v0x556a362cd100_0 .net *"_ivl_42", 0 0, L_0x556a362fa1a0; 1 drivers -v0x556a362cd1e0_0 .net *"_ivl_43", 0 0, L_0x556a362f9e60; 1 drivers -v0x556a362cd2c0_0 .net *"_ivl_47", 0 0, L_0x556a362fa550; 1 drivers -v0x556a362cd3a0_0 .net *"_ivl_49", 0 0, L_0x556a362fa640; 1 drivers -v0x556a362cd480_0 .net *"_ivl_5", 0 0, L_0x556a362f8e90; 1 drivers -v0x556a362cd560_0 .net *"_ivl_50", 0 0, L_0x556a362fa7d0; 1 drivers -v0x556a362cd640_0 .net *"_ivl_53", 0 0, L_0x556a362fa840; 1 drivers -v0x556a362cd720_0 .net *"_ivl_55", 0 0, L_0x556a362fa930; 1 drivers -v0x556a362cd800_0 .net *"_ivl_56", 0 0, L_0x556a362faad0; 1 drivers -v0x556a362cd8e0_0 .net *"_ivl_59", 0 0, L_0x556a362fa730; 1 drivers -v0x556a362cd9c0_0 .net *"_ivl_6", 0 0, L_0x556a362f8f30; 1 drivers -v0x556a362cdaa0_0 .net *"_ivl_61", 0 0, L_0x556a362faba0; 1 drivers -v0x556a362cdb80_0 .net *"_ivl_62", 0 0, L_0x556a362fad50; 1 drivers -v0x556a362cdc60_0 .net *"_ivl_65", 0 0, L_0x556a362fadc0; 1 drivers -v0x556a362cdd40_0 .net *"_ivl_67", 0 0, L_0x556a362faeb0; 1 drivers -v0x556a362cde20_0 .net *"_ivl_68", 0 0, L_0x556a362fb200; 1 drivers -v0x556a362cdf00_0 .net *"_ivl_72", 0 0, L_0x556a362fb330; 1 drivers -v0x556a362cdfe0_0 .net *"_ivl_74", 0 0, L_0x556a362fb500; 1 drivers -v0x556a362ce0c0_0 .net *"_ivl_75", 0 0, L_0x556a362fb5f0; 1 drivers -v0x556a362ce1a0_0 .net *"_ivl_78", 0 0, L_0x556a362fb660; 1 drivers -v0x556a362ce280_0 .net *"_ivl_80", 0 0, L_0x556a362fb840; 1 drivers -v0x556a362ce360_0 .net *"_ivl_81", 0 0, L_0x556a362fb980; 1 drivers -v0x556a362ce440_0 .net *"_ivl_84", 0 0, L_0x556a362fba70; 1 drivers -v0x556a362ce520_0 .net *"_ivl_86", 0 0, L_0x556a362fbcb0; 1 drivers -v0x556a362ce600_0 .net *"_ivl_87", 0 0, L_0x556a362fb2c0; 1 drivers -v0x556a362ce6e0_0 .net *"_ivl_9", 0 0, L_0x556a362f8fa0; 1 drivers -v0x556a362ce7c0_0 .net *"_ivl_90", 0 0, L_0x556a362fbda0; 1 drivers -v0x556a362ce8a0_0 .net *"_ivl_92", 0 0, L_0x556a362fbfa0; 1 drivers -v0x556a362ce980_0 .net *"_ivl_93", 0 0, L_0x556a362fc340; 1 drivers -v0x556a362cea60_0 .net *"_ivl_97", 0 0, L_0x556a362fc490; 1 drivers -v0x556a362ceb40_0 .net *"_ivl_99", 0 0, L_0x556a362fc580; 1 drivers -v0x556a362cec20_0 .net "and1", 3 0, L_0x556a362f9620; 1 drivers -v0x556a362ced00_0 .net "opCode", 2 0, L_0x556a362fece0; 1 drivers -v0x556a362cede0_0 .net "or1", 3 0, L_0x556a362fa290; 1 drivers -v0x556a362ceec0_0 .net "resultA", 3 0, L_0x556a362fc090; alias, 1 drivers -v0x556a362cefa0_0 .net "resultO", 3 0, L_0x556a362fd210; alias, 1 drivers -v0x556a362cf080_0 .net "resultX", 3 0, L_0x556a362fe470; alias, 1 drivers -v0x556a362cf160_0 .net "xor1", 3 0, L_0x556a362fb070; 1 drivers -L_0x556a362f8df0 .part v0x556a362f05a0_0, 0, 1; -L_0x556a362f8e90 .part v0x556a362f0680_0, 0, 1; -L_0x556a362f8fa0 .part v0x556a362f05a0_0, 1, 1; -L_0x556a362f9090 .part v0x556a362f0680_0, 1, 1; -L_0x556a362f9400 .part v0x556a362f05a0_0, 2, 1; -L_0x556a362f94f0 .part v0x556a362f0680_0, 2, 1; -L_0x556a362f9620 .concat8 [ 1 1 1 1], L_0x556a362f8d80, L_0x556a362f8f30, L_0x556a362f9390, L_0x556a362f97b0; -L_0x556a362f9870 .part v0x556a362f05a0_0, 3, 1; -L_0x556a362f99b0 .part v0x556a362f0680_0, 3, 1; -L_0x556a362f9b10 .part v0x556a362f05a0_0, 0, 1; -L_0x556a362f9c60 .part v0x556a362f0680_0, 0, 1; -L_0x556a362f9d70 .part v0x556a362f05a0_0, 1, 1; -L_0x556a362f9ed0 .part v0x556a362f0680_0, 1, 1; -L_0x556a362fa030 .part v0x556a362f05a0_0, 2, 1; -L_0x556a362fa1a0 .part v0x556a362f0680_0, 2, 1; -L_0x556a362fa290 .concat8 [ 1 1 1 1], L_0x556a362f9aa0, L_0x556a362f9d00, L_0x556a362f9fc0, L_0x556a362f9e60; -L_0x556a362fa550 .part v0x556a362f05a0_0, 3, 1; -L_0x556a362fa640 .part v0x556a362f0680_0, 3, 1; -L_0x556a362fa840 .part v0x556a362f05a0_0, 0, 1; -L_0x556a362fa930 .part v0x556a362f0680_0, 0, 1; -L_0x556a362fa730 .part v0x556a362f05a0_0, 1, 1; -L_0x556a362faba0 .part v0x556a362f0680_0, 1, 1; -L_0x556a362fadc0 .part v0x556a362f05a0_0, 2, 1; -L_0x556a362faeb0 .part v0x556a362f0680_0, 2, 1; -L_0x556a362fb070 .concat8 [ 1 1 1 1], L_0x556a362fa7d0, L_0x556a362faad0, L_0x556a362fad50, L_0x556a362fb200; -L_0x556a362fb330 .part v0x556a362f05a0_0, 3, 1; -L_0x556a362fb500 .part v0x556a362f0680_0, 3, 1; -L_0x556a362fb660 .part L_0x556a362fece0, 0, 1; -L_0x556a362fb840 .part L_0x556a362f9620, 0, 1; -L_0x556a362fba70 .part L_0x556a362fece0, 0, 1; -L_0x556a362fbcb0 .part L_0x556a362f9620, 1, 1; -L_0x556a362fbda0 .part L_0x556a362fece0, 0, 1; -L_0x556a362fbfa0 .part L_0x556a362f9620, 2, 1; -L_0x556a362fc090 .concat8 [ 1 1 1 1], L_0x556a362fb5f0, L_0x556a362fb980, L_0x556a362fb2c0, L_0x556a362fc340; -L_0x556a362fc490 .part L_0x556a362fece0, 0, 1; -L_0x556a362fc580 .part L_0x556a362f9620, 3, 1; -L_0x556a362fc290 .part L_0x556a362fece0, 1, 1; -L_0x556a362fc7a0 .part L_0x556a362fa290, 0, 1; -L_0x556a362fcb30 .part L_0x556a362fece0, 1, 1; -L_0x556a362fcc20 .part L_0x556a362fa290, 1, 1; -L_0x556a362fced0 .part L_0x556a362fece0, 1, 1; -L_0x556a362fcfc0 .part L_0x556a362fa290, 2, 1; -L_0x556a362fd210 .concat8 [ 1 1 1 1], L_0x556a362fc220, L_0x556a362fca20, L_0x556a362fce60, L_0x556a362fd3a0; -L_0x556a362fca90 .part L_0x556a362fece0, 1, 1; -L_0x556a362fd6d0 .part L_0x556a362fa290, 3, 1; -L_0x556a362fd830 .part L_0x556a362fece0, 2, 1; -L_0x556a362fdaa0 .part L_0x556a362fb070, 0, 1; -L_0x556a362fdd10 .part L_0x556a362fece0, 2, 1; -L_0x556a362fdf90 .part L_0x556a362fb070, 1, 1; -L_0x556a362fe0f0 .part L_0x556a362fece0, 2, 1; -L_0x556a362fe380 .part L_0x556a362fb070, 2, 1; -L_0x556a362fe470 .concat8 [ 1 1 1 1], L_0x556a362fd7c0, L_0x556a362fdbe0, L_0x556a362fe080, L_0x556a362fe7b0; -L_0x556a362fe940 .part L_0x556a362fece0, 2, 1; -L_0x556a362fea30 .part L_0x556a362fb070, 3, 1; -S_0x556a362cf300 .scope module, "mU" "multiplier" 3 22, 12 1 0, S_0x556a36224760; +L_0x559bc9e94d60 .functor AND 1, L_0x559bc9e94dd0, L_0x559bc9e94e70, C4<1>, C4<1>; +L_0x559bc9e94f10 .functor AND 1, L_0x559bc9e94f80, L_0x559bc9e95070, C4<1>, C4<1>; +L_0x559bc9e95370 .functor AND 1, L_0x559bc9e953e0, L_0x559bc9e954d0, C4<1>, C4<1>; +L_0x559bc9e95790 .functor AND 1, L_0x559bc9e95850, L_0x559bc9e95990, C4<1>, C4<1>; +L_0x559bc9e95a80 .functor OR 1, L_0x559bc9e95af0, L_0x559bc9e95c40, C4<0>, C4<0>; +L_0x559bc9e95ce0 .functor OR 1, L_0x559bc9e95d50, L_0x559bc9e95eb0, C4<0>, C4<0>; +L_0x559bc9e95fa0 .functor OR 1, L_0x559bc9e96010, L_0x559bc9e96180, C4<0>, C4<0>; +L_0x559bc9e95e40 .functor OR 1, L_0x559bc9e96530, L_0x559bc9e96620, C4<0>, C4<0>; +L_0x559bc9e967b0 .functor XOR 1, L_0x559bc9e96820, L_0x559bc9e96910, C4<0>, C4<0>; +L_0x559bc9e96ab0 .functor XOR 1, L_0x559bc9e96710, L_0x559bc9e96b80, C4<0>, C4<0>; +L_0x559bc9e96d30 .functor XOR 1, L_0x559bc9e96da0, L_0x559bc9e96e90, C4<0>, C4<0>; +L_0x559bc9e970f0 .functor XOR 1, L_0x559bc9e971d0, L_0x559bc9e973a0, C4<0>, C4<0>; +L_0x559bc9e97490 .functor AND 1, L_0x559bc9e97500, L_0x559bc9e976e0, C4<1>, C4<1>; +L_0x559bc9e97820 .functor AND 1, L_0x559bc9e97910, L_0x559bc9e97b50, C4<1>, C4<1>; +L_0x559bc9e97160 .functor AND 1, L_0x559bc9e97c40, L_0x559bc9e97e40, C4<1>, C4<1>; +L_0x559bc9e981e0 .functor AND 1, L_0x559bc9e98330, L_0x559bc9e98420, C4<1>, C4<1>; +L_0x559bc9e980c0 .functor AND 1, L_0x559bc9e98130, L_0x559bc9e98640, C4<1>, C4<1>; +L_0x559bc9e988c0 .functor AND 1, L_0x559bc9e989d0, L_0x559bc9e98ac0, C4<1>, C4<1>; +L_0x559bc9e98d00 .functor AND 1, L_0x559bc9e98d70, L_0x559bc9e98e60, C4<1>, C4<1>; +L_0x559bc9e99240 .functor AND 1, L_0x559bc9e98930, L_0x559bc9e99570, C4<1>, C4<1>; +L_0x559bc9e99660 .functor AND 1, L_0x559bc9e996d0, L_0x559bc9e99940, C4<1>, C4<1>; +L_0x559bc9e99a80 .functor AND 1, L_0x559bc9e99bb0, L_0x559bc9e99e30, C4<1>, C4<1>; +L_0x559bc9e99f20 .functor AND 1, L_0x559bc9e99f90, L_0x559bc9e9a220, C4<1>, C4<1>; +L_0x559bc9e9a650 .functor AND 1, L_0x559bc9e9a7e0, L_0x559bc9e9a8d0, C4<1>, C4<1>; +v0x559bc9e666d0_0 .net "A", 3 0, v0x559bc9e8c570_0; alias, 1 drivers +v0x559bc9e66770_0 .net "B", 3 0, v0x559bc9e8c650_0; alias, 1 drivers +v0x559bc9e66830_0 .net *"_ivl_0", 0 0, L_0x559bc9e94d60; 1 drivers +v0x559bc9e66920_0 .net *"_ivl_100", 0 0, L_0x559bc9e980c0; 1 drivers +v0x559bc9e66a00_0 .net *"_ivl_103", 0 0, L_0x559bc9e98130; 1 drivers +v0x559bc9e66b30_0 .net *"_ivl_105", 0 0, L_0x559bc9e98640; 1 drivers +v0x559bc9e66c10_0 .net *"_ivl_106", 0 0, L_0x559bc9e988c0; 1 drivers +v0x559bc9e66cf0_0 .net *"_ivl_109", 0 0, L_0x559bc9e989d0; 1 drivers +v0x559bc9e66dd0_0 .net *"_ivl_11", 0 0, L_0x559bc9e95070; 1 drivers +v0x559bc9e66eb0_0 .net *"_ivl_111", 0 0, L_0x559bc9e98ac0; 1 drivers +v0x559bc9e66f90_0 .net *"_ivl_112", 0 0, L_0x559bc9e98d00; 1 drivers +v0x559bc9e67070_0 .net *"_ivl_115", 0 0, L_0x559bc9e98d70; 1 drivers +v0x559bc9e67150_0 .net *"_ivl_117", 0 0, L_0x559bc9e98e60; 1 drivers +v0x559bc9e67230_0 .net *"_ivl_118", 0 0, L_0x559bc9e99240; 1 drivers +v0x559bc9e67310_0 .net *"_ivl_12", 0 0, L_0x559bc9e95370; 1 drivers +v0x559bc9e673f0_0 .net *"_ivl_122", 0 0, L_0x559bc9e98930; 1 drivers +v0x559bc9e674d0_0 .net *"_ivl_124", 0 0, L_0x559bc9e99570; 1 drivers +v0x559bc9e675b0_0 .net *"_ivl_125", 0 0, L_0x559bc9e99660; 1 drivers +v0x559bc9e67690_0 .net *"_ivl_128", 0 0, L_0x559bc9e996d0; 1 drivers +v0x559bc9e67770_0 .net *"_ivl_130", 0 0, L_0x559bc9e99940; 1 drivers +v0x559bc9e67850_0 .net *"_ivl_131", 0 0, L_0x559bc9e99a80; 1 drivers +v0x559bc9e67930_0 .net *"_ivl_134", 0 0, L_0x559bc9e99bb0; 1 drivers +v0x559bc9e67a10_0 .net *"_ivl_136", 0 0, L_0x559bc9e99e30; 1 drivers +v0x559bc9e67af0_0 .net *"_ivl_137", 0 0, L_0x559bc9e99f20; 1 drivers +v0x559bc9e67bd0_0 .net *"_ivl_140", 0 0, L_0x559bc9e99f90; 1 drivers +v0x559bc9e67cb0_0 .net *"_ivl_142", 0 0, L_0x559bc9e9a220; 1 drivers +v0x559bc9e67d90_0 .net *"_ivl_143", 0 0, L_0x559bc9e9a650; 1 drivers +v0x559bc9e67e70_0 .net *"_ivl_147", 0 0, L_0x559bc9e9a7e0; 1 drivers +v0x559bc9e67f50_0 .net *"_ivl_149", 0 0, L_0x559bc9e9a8d0; 1 drivers +v0x559bc9e68030_0 .net *"_ivl_15", 0 0, L_0x559bc9e953e0; 1 drivers +v0x559bc9e68110_0 .net *"_ivl_17", 0 0, L_0x559bc9e954d0; 1 drivers +v0x559bc9e681f0_0 .net *"_ivl_18", 0 0, L_0x559bc9e95790; 1 drivers +v0x559bc9e682d0_0 .net *"_ivl_22", 0 0, L_0x559bc9e95850; 1 drivers +v0x559bc9e685c0_0 .net *"_ivl_24", 0 0, L_0x559bc9e95990; 1 drivers +v0x559bc9e686a0_0 .net *"_ivl_25", 0 0, L_0x559bc9e95a80; 1 drivers +v0x559bc9e68780_0 .net *"_ivl_28", 0 0, L_0x559bc9e95af0; 1 drivers +v0x559bc9e68860_0 .net *"_ivl_3", 0 0, L_0x559bc9e94dd0; 1 drivers +v0x559bc9e68940_0 .net *"_ivl_30", 0 0, L_0x559bc9e95c40; 1 drivers +v0x559bc9e68a20_0 .net *"_ivl_31", 0 0, L_0x559bc9e95ce0; 1 drivers +v0x559bc9e68b00_0 .net *"_ivl_34", 0 0, L_0x559bc9e95d50; 1 drivers +v0x559bc9e68be0_0 .net *"_ivl_36", 0 0, L_0x559bc9e95eb0; 1 drivers +v0x559bc9e68cc0_0 .net *"_ivl_37", 0 0, L_0x559bc9e95fa0; 1 drivers +v0x559bc9e68da0_0 .net *"_ivl_40", 0 0, L_0x559bc9e96010; 1 drivers +v0x559bc9e68e80_0 .net *"_ivl_42", 0 0, L_0x559bc9e96180; 1 drivers +v0x559bc9e68f60_0 .net *"_ivl_43", 0 0, L_0x559bc9e95e40; 1 drivers +v0x559bc9e69040_0 .net *"_ivl_47", 0 0, L_0x559bc9e96530; 1 drivers +v0x559bc9e69120_0 .net *"_ivl_49", 0 0, L_0x559bc9e96620; 1 drivers +v0x559bc9e69200_0 .net *"_ivl_5", 0 0, L_0x559bc9e94e70; 1 drivers +v0x559bc9e692e0_0 .net *"_ivl_50", 0 0, L_0x559bc9e967b0; 1 drivers +v0x559bc9e693c0_0 .net *"_ivl_53", 0 0, L_0x559bc9e96820; 1 drivers +v0x559bc9e694a0_0 .net *"_ivl_55", 0 0, L_0x559bc9e96910; 1 drivers +v0x559bc9e69580_0 .net *"_ivl_56", 0 0, L_0x559bc9e96ab0; 1 drivers +v0x559bc9e69660_0 .net *"_ivl_59", 0 0, L_0x559bc9e96710; 1 drivers +v0x559bc9e69740_0 .net *"_ivl_6", 0 0, L_0x559bc9e94f10; 1 drivers +v0x559bc9e69820_0 .net *"_ivl_61", 0 0, L_0x559bc9e96b80; 1 drivers +v0x559bc9e69900_0 .net *"_ivl_62", 0 0, L_0x559bc9e96d30; 1 drivers +v0x559bc9e699e0_0 .net *"_ivl_65", 0 0, L_0x559bc9e96da0; 1 drivers +v0x559bc9e69ac0_0 .net *"_ivl_67", 0 0, L_0x559bc9e96e90; 1 drivers +v0x559bc9e69ba0_0 .net *"_ivl_68", 0 0, L_0x559bc9e970f0; 1 drivers +v0x559bc9e69c80_0 .net *"_ivl_72", 0 0, L_0x559bc9e971d0; 1 drivers +v0x559bc9e69d60_0 .net *"_ivl_74", 0 0, L_0x559bc9e973a0; 1 drivers +v0x559bc9e69e40_0 .net *"_ivl_75", 0 0, L_0x559bc9e97490; 1 drivers +v0x559bc9e69f20_0 .net *"_ivl_78", 0 0, L_0x559bc9e97500; 1 drivers +v0x559bc9e6a000_0 .net *"_ivl_80", 0 0, L_0x559bc9e976e0; 1 drivers +v0x559bc9e6a0e0_0 .net *"_ivl_81", 0 0, L_0x559bc9e97820; 1 drivers +v0x559bc9e6a1c0_0 .net *"_ivl_84", 0 0, L_0x559bc9e97910; 1 drivers +v0x559bc9e6a2a0_0 .net *"_ivl_86", 0 0, L_0x559bc9e97b50; 1 drivers +v0x559bc9e6a380_0 .net *"_ivl_87", 0 0, L_0x559bc9e97160; 1 drivers +v0x559bc9e6a460_0 .net *"_ivl_9", 0 0, L_0x559bc9e94f80; 1 drivers +v0x559bc9e6a540_0 .net *"_ivl_90", 0 0, L_0x559bc9e97c40; 1 drivers +v0x559bc9e6a620_0 .net *"_ivl_92", 0 0, L_0x559bc9e97e40; 1 drivers +v0x559bc9e6a700_0 .net *"_ivl_93", 0 0, L_0x559bc9e981e0; 1 drivers +v0x559bc9e6a7e0_0 .net *"_ivl_97", 0 0, L_0x559bc9e98330; 1 drivers +v0x559bc9e6a8c0_0 .net *"_ivl_99", 0 0, L_0x559bc9e98420; 1 drivers +v0x559bc9e6a9a0_0 .net "and1", 3 0, L_0x559bc9e95600; 1 drivers +v0x559bc9e6aa80_0 .net "opCode", 2 0, L_0x559bc9e9ab80; 1 drivers +v0x559bc9e6ab60_0 .net "or1", 3 0, L_0x559bc9e96270; 1 drivers +v0x559bc9e6ac40_0 .net "resultA", 3 0, L_0x559bc9e97f30; alias, 1 drivers +v0x559bc9e6ad20_0 .net "resultO", 3 0, L_0x559bc9e990b0; alias, 1 drivers +v0x559bc9e6ae00_0 .net "resultX", 3 0, L_0x559bc9e9a310; alias, 1 drivers +v0x559bc9e6aee0_0 .net "xor1", 3 0, L_0x559bc9e97050; 1 drivers +L_0x559bc9e94dd0 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e94e70 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e94f80 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e95070 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e953e0 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e954d0 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e95600 .concat8 [ 1 1 1 1], L_0x559bc9e94d60, L_0x559bc9e94f10, L_0x559bc9e95370, L_0x559bc9e95790; +L_0x559bc9e95850 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e95990 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9e95af0 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e95c40 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e95d50 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e95eb0 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e96010 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e96180 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e96270 .concat8 [ 1 1 1 1], L_0x559bc9e95a80, L_0x559bc9e95ce0, L_0x559bc9e95fa0, L_0x559bc9e95e40; +L_0x559bc9e96530 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e96620 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9e96820 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e96910 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e96710 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e96b80 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e96da0 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e96e90 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e97050 .concat8 [ 1 1 1 1], L_0x559bc9e967b0, L_0x559bc9e96ab0, L_0x559bc9e96d30, L_0x559bc9e970f0; +L_0x559bc9e971d0 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e973a0 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9e97500 .part L_0x559bc9e9ab80, 0, 1; +L_0x559bc9e976e0 .part L_0x559bc9e95600, 0, 1; +L_0x559bc9e97910 .part L_0x559bc9e9ab80, 0, 1; +L_0x559bc9e97b50 .part L_0x559bc9e95600, 1, 1; +L_0x559bc9e97c40 .part L_0x559bc9e9ab80, 0, 1; +L_0x559bc9e97e40 .part L_0x559bc9e95600, 2, 1; +L_0x559bc9e97f30 .concat8 [ 1 1 1 1], L_0x559bc9e97490, L_0x559bc9e97820, L_0x559bc9e97160, L_0x559bc9e981e0; +L_0x559bc9e98330 .part L_0x559bc9e9ab80, 0, 1; +L_0x559bc9e98420 .part L_0x559bc9e95600, 3, 1; +L_0x559bc9e98130 .part L_0x559bc9e9ab80, 1, 1; +L_0x559bc9e98640 .part L_0x559bc9e96270, 0, 1; +L_0x559bc9e989d0 .part L_0x559bc9e9ab80, 1, 1; +L_0x559bc9e98ac0 .part L_0x559bc9e96270, 1, 1; +L_0x559bc9e98d70 .part L_0x559bc9e9ab80, 1, 1; +L_0x559bc9e98e60 .part L_0x559bc9e96270, 2, 1; +L_0x559bc9e990b0 .concat8 [ 1 1 1 1], L_0x559bc9e980c0, L_0x559bc9e988c0, L_0x559bc9e98d00, L_0x559bc9e99240; +L_0x559bc9e98930 .part L_0x559bc9e9ab80, 1, 1; +L_0x559bc9e99570 .part L_0x559bc9e96270, 3, 1; +L_0x559bc9e996d0 .part L_0x559bc9e9ab80, 2, 1; +L_0x559bc9e99940 .part L_0x559bc9e97050, 0, 1; +L_0x559bc9e99bb0 .part L_0x559bc9e9ab80, 2, 1; +L_0x559bc9e99e30 .part L_0x559bc9e97050, 1, 1; +L_0x559bc9e99f90 .part L_0x559bc9e9ab80, 2, 1; +L_0x559bc9e9a220 .part L_0x559bc9e97050, 2, 1; +L_0x559bc9e9a310 .concat8 [ 1 1 1 1], L_0x559bc9e99660, L_0x559bc9e99a80, L_0x559bc9e99f20, L_0x559bc9e9a650; +L_0x559bc9e9a7e0 .part L_0x559bc9e9ab80, 2, 1; +L_0x559bc9e9a8d0 .part L_0x559bc9e97050, 3, 1; +S_0x559bc9e6b080 .scope module, "mU" "multiplier" 3 22, 14 1 0, S_0x559bc9e41bb0; .timescale 0 0; .port_info 0 /INPUT 4 "A"; .port_info 1 /INPUT 4 "B"; .port_info 2 /OUTPUT 8 "Y"; -L_0x556a362fee10 .functor AND 1, L_0x556a362fee80, L_0x556a362fef20, C4<1>, C4<1>; -L_0x556a362ff010 .functor AND 1, L_0x556a362ff080, L_0x556a362ff170, C4<1>, C4<1>; -L_0x556a362ff260 .functor AND 1, L_0x556a362ff2d0, L_0x556a362ff3c0, C4<1>, C4<1>; -L_0x556a362ff4b0 .functor AND 1, L_0x556a362ff520, L_0x556a362ff610, C4<1>, C4<1>; -L_0x7fa150a3f018 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>; -L_0x556a362ff930 .functor NOT 1, L_0x7fa150a3f018, C4<0>, C4<0>, C4<0>; -L_0x556a362ff9f0 .functor AND 1, L_0x556a362ffa60, L_0x556a362ffb60, C4<1>, C4<1>; -L_0x556a362ffc00 .functor AND 1, L_0x556a362ffc70, L_0x556a362ffdd0, C4<1>, C4<1>; -L_0x556a363002d0 .functor AND 1, L_0x556a36300340, L_0x556a363004b0, C4<1>, C4<1>; -L_0x556a362ffd60 .functor AND 1, L_0x556a36300860, L_0x556a36300950, C4<1>, C4<1>; -L_0x556a36302a00 .functor AND 1, L_0x556a36302dd0, L_0x556a36300a40, C4<1>, C4<1>; -L_0x556a36302f20 .functor AND 1, L_0x556a36302f90, L_0x556a363030f0, C4<1>, C4<1>; -L_0x556a363031e0 .functor AND 1, L_0x556a363032c0, L_0x556a36303480, C4<1>, C4<1>; -L_0x556a36303830 .functor AND 1, L_0x556a363038f0, L_0x556a363039e0, C4<1>, C4<1>; -L_0x556a36305bb0 .functor AND 1, L_0x556a363061a0, L_0x556a36306240, C4<1>, C4<1>; -L_0x556a36303250 .functor AND 1, L_0x556a363063f0, L_0x556a36306490, C4<1>, C4<1>; -L_0x556a363066a0 .functor AND 1, L_0x556a363067a0, L_0x556a36306890, C4<1>, C4<1>; -L_0x556a36306ba0 .functor AND 1, L_0x556a36306c60, L_0x556a36306e90, C4<1>, C4<1>; -L_0x7fa150a3f138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36308f70 .functor OR 1, L_0x556a363095d0, L_0x7fa150a3f138, C4<0>, C4<0>; -L_0x7fa150a3f180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a363097d0 .functor OR 1, L_0x556a36309840, L_0x7fa150a3f180, C4<0>, C4<0>; -L_0x7fa150a3f1c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36309980 .functor OR 1, L_0x556a36309530, L_0x7fa150a3f1c8, C4<0>, C4<0>; -L_0x7fa150a3f210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36309d00 .functor OR 1, L_0x556a36309d70, L_0x7fa150a3f210, C4<0>, C4<0>; -L_0x7fa150a3f258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a36309eb0 .functor OR 1, L_0x556a36309fe0, L_0x7fa150a3f258, C4<0>, C4<0>; -L_0x7fa150a3f2a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a3630a290 .functor OR 1, L_0x556a3630a300, L_0x7fa150a3f2a0, C4<0>, C4<0>; -L_0x7fa150a3f2e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -L_0x556a3630a670 .functor OR 1, L_0x556a3630a800, L_0x7fa150a3f2e8, C4<0>, C4<0>; -v0x556a362e1700_0 .net "A", 3 0, v0x556a362f05a0_0; alias, 1 drivers -v0x556a362e17e0_0 .net "B", 3 0, v0x556a362f0680_0; alias, 1 drivers -v0x556a362e1930_0 .net "S0", 4 0, L_0x556a36302ca0; 1 drivers -v0x556a362e19f0_0 .net "S1", 4 0, L_0x556a36305ef0; 1 drivers -v0x556a362e1ad0_0 .net "S2", 4 0, L_0x556a36309400; 1 drivers -v0x556a362e1bb0_0 .net "Y", 7 0, L_0x556a3630a3a0; alias, 1 drivers -v0x556a362e1c90_0 .net *"_ivl_1", 0 0, L_0x556a362fee10; 1 drivers -v0x556a362e1d70_0 .net *"_ivl_10", 0 0, L_0x556a362ff080; 1 drivers -v0x556a362e1e50_0 .net *"_ivl_101", 0 0, L_0x556a36306240; 1 drivers -v0x556a362e1fc0_0 .net *"_ivl_102", 0 0, L_0x556a36303250; 1 drivers -v0x556a362e20a0_0 .net *"_ivl_105", 0 0, L_0x556a363063f0; 1 drivers -v0x556a362e2180_0 .net *"_ivl_107", 0 0, L_0x556a36306490; 1 drivers -v0x556a362e2260_0 .net *"_ivl_108", 0 0, L_0x556a363066a0; 1 drivers -v0x556a362e2340_0 .net *"_ivl_111", 0 0, L_0x556a363067a0; 1 drivers -v0x556a362e2420_0 .net *"_ivl_113", 0 0, L_0x556a36306890; 1 drivers -v0x556a362e2500_0 .net *"_ivl_114", 0 0, L_0x556a36306ba0; 1 drivers -v0x556a362e25e0_0 .net *"_ivl_118", 0 0, L_0x556a36306c60; 1 drivers -v0x556a362e26c0_0 .net *"_ivl_12", 0 0, L_0x556a362ff170; 1 drivers -v0x556a362e27a0_0 .net *"_ivl_120", 0 0, L_0x556a36306e90; 1 drivers -v0x556a362e2880_0 .net *"_ivl_13", 0 0, L_0x556a362ff260; 1 drivers -v0x556a362e2960_0 .net *"_ivl_130", 0 0, L_0x556a36308f70; 1 drivers -v0x556a362e2a40_0 .net *"_ivl_133", 0 0, L_0x556a363095d0; 1 drivers -v0x556a362e2b20_0 .net/2u *"_ivl_134", 0 0, L_0x7fa150a3f138; 1 drivers -v0x556a362e2c00_0 .net *"_ivl_136", 0 0, L_0x556a363097d0; 1 drivers -v0x556a362e2ce0_0 .net *"_ivl_139", 0 0, L_0x556a36309840; 1 drivers -v0x556a362e2dc0_0 .net/2u *"_ivl_140", 0 0, L_0x7fa150a3f180; 1 drivers -v0x556a362e2ea0_0 .net *"_ivl_142", 0 0, L_0x556a36309980; 1 drivers -v0x556a362e2f80_0 .net *"_ivl_145", 0 0, L_0x556a36309530; 1 drivers -v0x556a362e3060_0 .net/2u *"_ivl_146", 0 0, L_0x7fa150a3f1c8; 1 drivers -v0x556a362e3140_0 .net *"_ivl_148", 0 0, L_0x556a36309d00; 1 drivers -v0x556a362e3220_0 .net *"_ivl_151", 0 0, L_0x556a36309d70; 1 drivers -v0x556a362e3300_0 .net/2u *"_ivl_152", 0 0, L_0x7fa150a3f210; 1 drivers -v0x556a362e33e0_0 .net *"_ivl_154", 0 0, L_0x556a36309eb0; 1 drivers -v0x556a362e34c0_0 .net *"_ivl_157", 0 0, L_0x556a36309fe0; 1 drivers -v0x556a362e35a0_0 .net/2u *"_ivl_158", 0 0, L_0x7fa150a3f258; 1 drivers -v0x556a362e3680_0 .net *"_ivl_16", 0 0, L_0x556a362ff2d0; 1 drivers -v0x556a362e3760_0 .net *"_ivl_160", 0 0, L_0x556a3630a290; 1 drivers -v0x556a362e3840_0 .net *"_ivl_163", 0 0, L_0x556a3630a300; 1 drivers -v0x556a362e3920_0 .net/2u *"_ivl_164", 0 0, L_0x7fa150a3f2a0; 1 drivers -v0x556a362e3a00_0 .net *"_ivl_166", 0 0, L_0x556a3630a670; 1 drivers -v0x556a362e3ae0_0 .net *"_ivl_170", 0 0, L_0x556a3630a800; 1 drivers -v0x556a362e3bc0_0 .net/2u *"_ivl_171", 0 0, L_0x7fa150a3f2e8; 1 drivers -v0x556a362e3ca0_0 .net *"_ivl_18", 0 0, L_0x556a362ff3c0; 1 drivers -v0x556a362e3d80_0 .net *"_ivl_19", 0 0, L_0x556a362ff4b0; 1 drivers -v0x556a362e3e60_0 .net *"_ivl_22", 0 0, L_0x556a362ff520; 1 drivers -v0x556a362e3f40_0 .net *"_ivl_24", 0 0, L_0x556a362ff610; 1 drivers -v0x556a362e4020_0 .net *"_ivl_25", 0 0, L_0x556a362ff930; 1 drivers -v0x556a362e4100_0 .net/2u *"_ivl_28", 0 0, L_0x7fa150a3f018; 1 drivers -v0x556a362e41e0_0 .net *"_ivl_30", 0 0, L_0x556a362ff9f0; 1 drivers -v0x556a362e42c0_0 .net *"_ivl_33", 0 0, L_0x556a362ffa60; 1 drivers -v0x556a362e43a0_0 .net *"_ivl_35", 0 0, L_0x556a362ffb60; 1 drivers -v0x556a362e4480_0 .net *"_ivl_36", 0 0, L_0x556a362ffc00; 1 drivers -v0x556a362e4560_0 .net *"_ivl_39", 0 0, L_0x556a362ffc70; 1 drivers -v0x556a362e4640_0 .net *"_ivl_4", 0 0, L_0x556a362fee80; 1 drivers -v0x556a362e4720_0 .net *"_ivl_41", 0 0, L_0x556a362ffdd0; 1 drivers -v0x556a362e4800_0 .net *"_ivl_42", 0 0, L_0x556a363002d0; 1 drivers -v0x556a362e48e0_0 .net *"_ivl_45", 0 0, L_0x556a36300340; 1 drivers -v0x556a362e49c0_0 .net *"_ivl_47", 0 0, L_0x556a363004b0; 1 drivers -v0x556a362e4aa0_0 .net *"_ivl_48", 0 0, L_0x556a362ffd60; 1 drivers -v0x556a362e4b80_0 .net *"_ivl_52", 0 0, L_0x556a36300860; 1 drivers -v0x556a362e4c60_0 .net *"_ivl_54", 0 0, L_0x556a36300950; 1 drivers -v0x556a362e4d40_0 .net *"_ivl_6", 0 0, L_0x556a362fef20; 1 drivers -v0x556a362e4e20_0 .net *"_ivl_62", 0 0, L_0x556a36302a00; 1 drivers -v0x556a362e4f00_0 .net *"_ivl_65", 0 0, L_0x556a36302dd0; 1 drivers -v0x556a362e4fe0_0 .net *"_ivl_67", 0 0, L_0x556a36300a40; 1 drivers -v0x556a362e54d0_0 .net *"_ivl_68", 0 0, L_0x556a36302f20; 1 drivers -v0x556a362e55b0_0 .net *"_ivl_7", 0 0, L_0x556a362ff010; 1 drivers -v0x556a362e5690_0 .net *"_ivl_71", 0 0, L_0x556a36302f90; 1 drivers -v0x556a362e5770_0 .net *"_ivl_73", 0 0, L_0x556a363030f0; 1 drivers -v0x556a362e5850_0 .net *"_ivl_74", 0 0, L_0x556a363031e0; 1 drivers -v0x556a362e5930_0 .net *"_ivl_77", 0 0, L_0x556a363032c0; 1 drivers -v0x556a362e5a10_0 .net *"_ivl_79", 0 0, L_0x556a36303480; 1 drivers -v0x556a362e5af0_0 .net *"_ivl_80", 0 0, L_0x556a36303830; 1 drivers -v0x556a362e5bd0_0 .net *"_ivl_84", 0 0, L_0x556a363038f0; 1 drivers -v0x556a362e5cb0_0 .net *"_ivl_86", 0 0, L_0x556a363039e0; 1 drivers -v0x556a362e5d90_0 .net *"_ivl_96", 0 0, L_0x556a36305bb0; 1 drivers -v0x556a362e5e70_0 .net *"_ivl_99", 0 0, L_0x556a363061a0; 1 drivers -v0x556a362e5f50_0 .net "a0", 3 0, L_0x556a363005a0; 1 drivers -v0x556a362e6010_0 .net "a1", 3 0, L_0x556a36303570; 1 drivers -v0x556a362e60e0_0 .net "a2", 3 0, L_0x556a36306580; 1 drivers -v0x556a362e61b0_0 .net "b0", 3 0, L_0x556a362ff750; 1 drivers -v0x556a362e6280_0 .net "overflow0", 0 0, L_0x556a36302b10; 1 drivers -v0x556a362e6350_0 .net "overflow1", 0 0, L_0x556a36305cc0; 1 drivers -v0x556a362e6420_0 .net "overflow2", 0 0, L_0x556a36309080; 1 drivers -L_0x556a362fee80 .part v0x556a362f05a0_0, 0, 1; -L_0x556a362fef20 .part v0x556a362f0680_0, 0, 1; -L_0x556a362ff080 .part v0x556a362f05a0_0, 1, 1; -L_0x556a362ff170 .part v0x556a362f0680_0, 0, 1; -L_0x556a362ff2d0 .part v0x556a362f05a0_0, 2, 1; -L_0x556a362ff3c0 .part v0x556a362f0680_0, 0, 1; -L_0x556a362ff520 .part v0x556a362f05a0_0, 3, 1; -L_0x556a362ff610 .part v0x556a362f0680_0, 0, 1; -L_0x556a362ff750 .concat8 [ 1 1 1 1], L_0x556a362ff010, L_0x556a362ff260, L_0x556a362ff4b0, L_0x556a362ff930; -L_0x556a362ffa60 .part v0x556a362f05a0_0, 0, 1; -L_0x556a362ffb60 .part v0x556a362f0680_0, 1, 1; -L_0x556a362ffc70 .part v0x556a362f05a0_0, 1, 1; -L_0x556a362ffdd0 .part v0x556a362f0680_0, 1, 1; -L_0x556a36300340 .part v0x556a362f05a0_0, 2, 1; -L_0x556a363004b0 .part v0x556a362f0680_0, 1, 1; -L_0x556a363005a0 .concat8 [ 1 1 1 1], L_0x556a362ff9f0, L_0x556a362ffc00, L_0x556a363002d0, L_0x556a362ffd60; -L_0x556a36300860 .part v0x556a362f05a0_0, 3, 1; -L_0x556a36300950 .part v0x556a362f0680_0, 1, 1; -L_0x556a36302ca0 .concat8 [ 4 1 0 0], L_0x556a36302a70, L_0x556a363024c0; -L_0x556a36302dd0 .part v0x556a362f05a0_0, 0, 1; -L_0x556a36300a40 .part v0x556a362f0680_0, 2, 1; -L_0x556a36302f90 .part v0x556a362f05a0_0, 1, 1; -L_0x556a363030f0 .part v0x556a362f0680_0, 2, 1; -L_0x556a363032c0 .part v0x556a362f05a0_0, 2, 1; -L_0x556a36303480 .part v0x556a362f0680_0, 2, 1; -L_0x556a36303570 .concat8 [ 1 1 1 1], L_0x556a36302a00, L_0x556a36302f20, L_0x556a363031e0, L_0x556a36303830; -L_0x556a363038f0 .part v0x556a362f05a0_0, 3, 1; -L_0x556a363039e0 .part v0x556a362f0680_0, 2, 1; -L_0x556a36305e50 .part L_0x556a36302ca0, 1, 4; -L_0x556a36305ef0 .concat8 [ 4 1 0 0], L_0x556a36305c20, L_0x556a36305640; -L_0x556a363061a0 .part v0x556a362f05a0_0, 0, 1; -L_0x556a36306240 .part v0x556a362f0680_0, 3, 1; -L_0x556a363063f0 .part v0x556a362f05a0_0, 1, 1; -L_0x556a36306490 .part v0x556a362f0680_0, 3, 1; -L_0x556a363067a0 .part v0x556a362f05a0_0, 2, 1; -L_0x556a36306890 .part v0x556a362f0680_0, 3, 1; -L_0x556a36306580 .concat8 [ 1 1 1 1], L_0x556a36305bb0, L_0x556a36303250, L_0x556a363066a0, L_0x556a36306ba0; -L_0x556a36306c60 .part v0x556a362f05a0_0, 3, 1; -L_0x556a36306e90 .part v0x556a362f0680_0, 3, 1; -L_0x556a36309210 .part L_0x556a36305ef0, 1, 4; -L_0x556a36309400 .concat8 [ 4 1 0 0], L_0x556a36308fe0, L_0x556a36308a00; -L_0x556a363095d0 .part L_0x556a36302ca0, 0, 1; -L_0x556a36309840 .part L_0x556a36305ef0, 0, 1; -L_0x556a36309530 .part L_0x556a36309400, 0, 1; -L_0x556a36309d70 .part L_0x556a36309400, 1, 1; -L_0x556a36309fe0 .part L_0x556a36309400, 2, 1; -L_0x556a3630a300 .part L_0x556a36309400, 3, 1; -LS_0x556a3630a3a0_0_0 .concat8 [ 1 1 1 1], L_0x556a362fee10, L_0x556a36308f70, L_0x556a363097d0, L_0x556a36309980; -LS_0x556a3630a3a0_0_4 .concat8 [ 1 1 1 1], L_0x556a36309d00, L_0x556a36309eb0, L_0x556a3630a290, L_0x556a3630a670; -L_0x556a3630a3a0 .concat8 [ 4 4 0 0], LS_0x556a3630a3a0_0_0, LS_0x556a3630a3a0_0_4; -L_0x556a3630a800 .part L_0x556a36309400, 4, 1; -S_0x556a362cf4e0 .scope module, "add0" "addition" 12 26, 5 1 0, S_0x556a362cf300; +L_0x559bc9e9acb0 .functor AND 1, L_0x559bc9e9ad20, L_0x559bc9e9adc0, C4<1>, C4<1>; +L_0x559bc9e9aeb0 .functor AND 1, L_0x559bc9e9af20, L_0x559bc9e9b010, C4<1>, C4<1>; +L_0x559bc9e9b100 .functor AND 1, L_0x559bc9e9b170, L_0x559bc9e9b260, C4<1>, C4<1>; +L_0x559bc9e9b350 .functor AND 1, L_0x559bc9e9b3c0, L_0x559bc9e9b4b0, C4<1>, C4<1>; +L_0x7f29537c6018 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>; +L_0x559bc9e9b7d0 .functor NOT 1, L_0x7f29537c6018, C4<0>, C4<0>, C4<0>; +L_0x559bc9e9b8e0 .functor AND 1, L_0x559bc9e9b950, L_0x559bc9e9baa0, C4<1>, C4<1>; +L_0x559bc9e9bb40 .functor AND 1, L_0x559bc9e9bbb0, L_0x559bc9e9bd10, C4<1>, C4<1>; +L_0x559bc9e9c210 .functor AND 1, L_0x559bc9e9c280, L_0x559bc9e9c3f0, C4<1>, C4<1>; +L_0x559bc9e9bca0 .functor AND 1, L_0x559bc9e9c7a0, L_0x559bc9e9c890, C4<1>, C4<1>; +L_0x559bc9e9e940 .functor AND 1, L_0x559bc9e9ed10, L_0x559bc9e9c980, C4<1>, C4<1>; +L_0x559bc9e9ee60 .functor AND 1, L_0x559bc9e9eed0, L_0x559bc9e9f030, C4<1>, C4<1>; +L_0x559bc9e9f120 .functor AND 1, L_0x559bc9e9f200, L_0x559bc9e9f3c0, C4<1>, C4<1>; +L_0x559bc9e9f770 .functor AND 1, L_0x559bc9e9f830, L_0x559bc9e9f920, C4<1>, C4<1>; +L_0x559bc9ea1af0 .functor AND 1, L_0x559bc9ea20e0, L_0x559bc9ea2180, C4<1>, C4<1>; +L_0x559bc9e9f190 .functor AND 1, L_0x559bc9ea2330, L_0x559bc9ea23d0, C4<1>, C4<1>; +L_0x559bc9ea25e0 .functor AND 1, L_0x559bc9ea26e0, L_0x559bc9ea27d0, C4<1>, C4<1>; +L_0x559bc9ea2ae0 .functor AND 1, L_0x559bc9ea2ba0, L_0x559bc9ea2dd0, C4<1>, C4<1>; +L_0x7f29537c6138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9ea4eb0 .functor OR 1, L_0x559bc9ea5510, L_0x7f29537c6138, C4<0>, C4<0>; +L_0x7f29537c6180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9ea5710 .functor OR 1, L_0x559bc9ea5780, L_0x7f29537c6180, C4<0>, C4<0>; +L_0x7f29537c61c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9ea58c0 .functor OR 1, L_0x559bc9ea5470, L_0x7f29537c61c8, C4<0>, C4<0>; +L_0x7f29537c6210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9ea5c40 .functor OR 1, L_0x559bc9ea5cb0, L_0x7f29537c6210, C4<0>, C4<0>; +L_0x7f29537c6258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9ea5df0 .functor OR 1, L_0x559bc9ea5f20, L_0x7f29537c6258, C4<0>, C4<0>; +L_0x7f29537c62a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9ea6220 .functor OR 1, L_0x559bc9ea6290, L_0x7f29537c62a0, C4<0>, C4<0>; +L_0x7f29537c62e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x559bc9ea6880 .functor OR 1, L_0x559bc9ea6a10, L_0x7f29537c62e8, C4<0>, C4<0>; +v0x559bc9e7d4e0_0 .net "A", 3 0, v0x559bc9e8c570_0; alias, 1 drivers +v0x559bc9e7d5c0_0 .net "B", 3 0, v0x559bc9e8c650_0; alias, 1 drivers +v0x559bc9e7d710_0 .net "S0", 4 0, L_0x559bc9e9ebe0; 1 drivers +v0x559bc9e7d7d0_0 .net "S1", 4 0, L_0x559bc9ea1e30; 1 drivers +v0x559bc9e7d8b0_0 .net "S2", 4 0, L_0x559bc9ea5340; 1 drivers +v0x559bc9e7d990_0 .net "Y", 7 0, L_0x559bc9ea6380; alias, 1 drivers +v0x559bc9e7da70_0 .net *"_ivl_1", 0 0, L_0x559bc9e9acb0; 1 drivers +v0x559bc9e7db50_0 .net *"_ivl_10", 0 0, L_0x559bc9e9af20; 1 drivers +v0x559bc9e7dc30_0 .net *"_ivl_101", 0 0, L_0x559bc9ea2180; 1 drivers +v0x559bc9e7dda0_0 .net *"_ivl_102", 0 0, L_0x559bc9e9f190; 1 drivers +v0x559bc9e7de80_0 .net *"_ivl_105", 0 0, L_0x559bc9ea2330; 1 drivers +v0x559bc9e7df60_0 .net *"_ivl_107", 0 0, L_0x559bc9ea23d0; 1 drivers +v0x559bc9e7e040_0 .net *"_ivl_108", 0 0, L_0x559bc9ea25e0; 1 drivers +v0x559bc9e7e120_0 .net *"_ivl_111", 0 0, L_0x559bc9ea26e0; 1 drivers +v0x559bc9e7e200_0 .net *"_ivl_113", 0 0, L_0x559bc9ea27d0; 1 drivers +v0x559bc9e7e2e0_0 .net *"_ivl_114", 0 0, L_0x559bc9ea2ae0; 1 drivers +v0x559bc9e7e3c0_0 .net *"_ivl_118", 0 0, L_0x559bc9ea2ba0; 1 drivers +v0x559bc9e7e4a0_0 .net *"_ivl_12", 0 0, L_0x559bc9e9b010; 1 drivers +v0x559bc9e7e580_0 .net *"_ivl_120", 0 0, L_0x559bc9ea2dd0; 1 drivers +v0x559bc9e7e660_0 .net *"_ivl_13", 0 0, L_0x559bc9e9b100; 1 drivers +v0x559bc9e7e740_0 .net *"_ivl_130", 0 0, L_0x559bc9ea4eb0; 1 drivers +v0x559bc9e7e820_0 .net *"_ivl_133", 0 0, L_0x559bc9ea5510; 1 drivers +v0x559bc9e7e900_0 .net/2u *"_ivl_134", 0 0, L_0x7f29537c6138; 1 drivers +v0x559bc9e7e9e0_0 .net *"_ivl_136", 0 0, L_0x559bc9ea5710; 1 drivers +v0x559bc9e7eac0_0 .net *"_ivl_139", 0 0, L_0x559bc9ea5780; 1 drivers +v0x559bc9e7eba0_0 .net/2u *"_ivl_140", 0 0, L_0x7f29537c6180; 1 drivers +v0x559bc9e7ec80_0 .net *"_ivl_142", 0 0, L_0x559bc9ea58c0; 1 drivers +v0x559bc9e7ed60_0 .net *"_ivl_145", 0 0, L_0x559bc9ea5470; 1 drivers +v0x559bc9e7ee40_0 .net/2u *"_ivl_146", 0 0, L_0x7f29537c61c8; 1 drivers +v0x559bc9e7ef20_0 .net *"_ivl_148", 0 0, L_0x559bc9ea5c40; 1 drivers +v0x559bc9e7f000_0 .net *"_ivl_151", 0 0, L_0x559bc9ea5cb0; 1 drivers +v0x559bc9e7f0e0_0 .net/2u *"_ivl_152", 0 0, L_0x7f29537c6210; 1 drivers +v0x559bc9e7f1c0_0 .net *"_ivl_154", 0 0, L_0x559bc9ea5df0; 1 drivers +v0x559bc9e7f2a0_0 .net *"_ivl_157", 0 0, L_0x559bc9ea5f20; 1 drivers +v0x559bc9e7f380_0 .net/2u *"_ivl_158", 0 0, L_0x7f29537c6258; 1 drivers +v0x559bc9e7f460_0 .net *"_ivl_16", 0 0, L_0x559bc9e9b170; 1 drivers +v0x559bc9e7f540_0 .net *"_ivl_160", 0 0, L_0x559bc9ea6220; 1 drivers +v0x559bc9e7f620_0 .net *"_ivl_163", 0 0, L_0x559bc9ea6290; 1 drivers +v0x559bc9e7f700_0 .net/2u *"_ivl_164", 0 0, L_0x7f29537c62a0; 1 drivers +v0x559bc9e7f7e0_0 .net *"_ivl_166", 0 0, L_0x559bc9ea6880; 1 drivers +v0x559bc9e7f8c0_0 .net *"_ivl_170", 0 0, L_0x559bc9ea6a10; 1 drivers +v0x559bc9e7f9a0_0 .net/2u *"_ivl_171", 0 0, L_0x7f29537c62e8; 1 drivers +v0x559bc9e7fa80_0 .net *"_ivl_18", 0 0, L_0x559bc9e9b260; 1 drivers +v0x559bc9e7fb60_0 .net *"_ivl_19", 0 0, L_0x559bc9e9b350; 1 drivers +v0x559bc9e7fc40_0 .net *"_ivl_22", 0 0, L_0x559bc9e9b3c0; 1 drivers +v0x559bc9e7fd20_0 .net *"_ivl_24", 0 0, L_0x559bc9e9b4b0; 1 drivers +v0x559bc9e7fe00_0 .net *"_ivl_25", 0 0, L_0x559bc9e9b7d0; 1 drivers +v0x559bc9e7fee0_0 .net/2u *"_ivl_28", 0 0, L_0x7f29537c6018; 1 drivers +v0x559bc9e7ffc0_0 .net *"_ivl_30", 0 0, L_0x559bc9e9b8e0; 1 drivers +v0x559bc9e800a0_0 .net *"_ivl_33", 0 0, L_0x559bc9e9b950; 1 drivers +v0x559bc9e80180_0 .net *"_ivl_35", 0 0, L_0x559bc9e9baa0; 1 drivers +v0x559bc9e80260_0 .net *"_ivl_36", 0 0, L_0x559bc9e9bb40; 1 drivers +v0x559bc9e80340_0 .net *"_ivl_39", 0 0, L_0x559bc9e9bbb0; 1 drivers +v0x559bc9e80420_0 .net *"_ivl_4", 0 0, L_0x559bc9e9ad20; 1 drivers +v0x559bc9e80500_0 .net *"_ivl_41", 0 0, L_0x559bc9e9bd10; 1 drivers +v0x559bc9e805e0_0 .net *"_ivl_42", 0 0, L_0x559bc9e9c210; 1 drivers +v0x559bc9e806c0_0 .net *"_ivl_45", 0 0, L_0x559bc9e9c280; 1 drivers +v0x559bc9e807a0_0 .net *"_ivl_47", 0 0, L_0x559bc9e9c3f0; 1 drivers +v0x559bc9e80880_0 .net *"_ivl_48", 0 0, L_0x559bc9e9bca0; 1 drivers +v0x559bc9e80960_0 .net *"_ivl_52", 0 0, L_0x559bc9e9c7a0; 1 drivers +v0x559bc9e80a40_0 .net *"_ivl_54", 0 0, L_0x559bc9e9c890; 1 drivers +v0x559bc9e80b20_0 .net *"_ivl_6", 0 0, L_0x559bc9e9adc0; 1 drivers +v0x559bc9e80c00_0 .net *"_ivl_62", 0 0, L_0x559bc9e9e940; 1 drivers +v0x559bc9e80ce0_0 .net *"_ivl_65", 0 0, L_0x559bc9e9ed10; 1 drivers +v0x559bc9e80dc0_0 .net *"_ivl_67", 0 0, L_0x559bc9e9c980; 1 drivers +v0x559bc9e812b0_0 .net *"_ivl_68", 0 0, L_0x559bc9e9ee60; 1 drivers +v0x559bc9e81390_0 .net *"_ivl_7", 0 0, L_0x559bc9e9aeb0; 1 drivers +v0x559bc9e81470_0 .net *"_ivl_71", 0 0, L_0x559bc9e9eed0; 1 drivers +v0x559bc9e81550_0 .net *"_ivl_73", 0 0, L_0x559bc9e9f030; 1 drivers +v0x559bc9e81630_0 .net *"_ivl_74", 0 0, L_0x559bc9e9f120; 1 drivers +v0x559bc9e81710_0 .net *"_ivl_77", 0 0, L_0x559bc9e9f200; 1 drivers +v0x559bc9e817f0_0 .net *"_ivl_79", 0 0, L_0x559bc9e9f3c0; 1 drivers +v0x559bc9e818d0_0 .net *"_ivl_80", 0 0, L_0x559bc9e9f770; 1 drivers +v0x559bc9e819b0_0 .net *"_ivl_84", 0 0, L_0x559bc9e9f830; 1 drivers +v0x559bc9e81a90_0 .net *"_ivl_86", 0 0, L_0x559bc9e9f920; 1 drivers +v0x559bc9e81b70_0 .net *"_ivl_96", 0 0, L_0x559bc9ea1af0; 1 drivers +v0x559bc9e81c50_0 .net *"_ivl_99", 0 0, L_0x559bc9ea20e0; 1 drivers +v0x559bc9e81d30_0 .net "a0", 3 0, L_0x559bc9e9c4e0; 1 drivers +v0x559bc9e81df0_0 .net "a1", 3 0, L_0x559bc9e9f4b0; 1 drivers +v0x559bc9e81ec0_0 .net "a2", 3 0, L_0x559bc9ea24c0; 1 drivers +v0x559bc9e81f90_0 .net "b0", 3 0, L_0x559bc9e9b5f0; 1 drivers +v0x559bc9e82060_0 .net "overflow0", 0 0, L_0x559bc9e9ea50; 1 drivers +v0x559bc9e82130_0 .net "overflow1", 0 0, L_0x559bc9ea1c00; 1 drivers +v0x559bc9e82200_0 .net "overflow2", 0 0, L_0x559bc9ea4fc0; 1 drivers +L_0x559bc9e9ad20 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e9adc0 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e9af20 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e9b010 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e9b170 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e9b260 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e9b3c0 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e9b4b0 .part v0x559bc9e8c650_0, 0, 1; +L_0x559bc9e9b5f0 .concat8 [ 1 1 1 1], L_0x559bc9e9aeb0, L_0x559bc9e9b100, L_0x559bc9e9b350, L_0x559bc9e9b7d0; +L_0x559bc9e9b950 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e9baa0 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e9bbb0 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e9bd10 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e9c280 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e9c3f0 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e9c4e0 .concat8 [ 1 1 1 1], L_0x559bc9e9b8e0, L_0x559bc9e9bb40, L_0x559bc9e9c210, L_0x559bc9e9bca0; +L_0x559bc9e9c7a0 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e9c890 .part v0x559bc9e8c650_0, 1, 1; +L_0x559bc9e9ebe0 .concat8 [ 4 1 0 0], L_0x559bc9e9e9b0, L_0x559bc9e9e400; +L_0x559bc9e9ed10 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9e9c980 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e9eed0 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9e9f030 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e9f200 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9e9f3c0 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9e9f4b0 .concat8 [ 1 1 1 1], L_0x559bc9e9e940, L_0x559bc9e9ee60, L_0x559bc9e9f120, L_0x559bc9e9f770; +L_0x559bc9e9f830 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9e9f920 .part v0x559bc9e8c650_0, 2, 1; +L_0x559bc9ea1d90 .part L_0x559bc9e9ebe0, 1, 4; +L_0x559bc9ea1e30 .concat8 [ 4 1 0 0], L_0x559bc9ea1b60, L_0x559bc9ea1580; +L_0x559bc9ea20e0 .part v0x559bc9e8c570_0, 0, 1; +L_0x559bc9ea2180 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9ea2330 .part v0x559bc9e8c570_0, 1, 1; +L_0x559bc9ea23d0 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9ea26e0 .part v0x559bc9e8c570_0, 2, 1; +L_0x559bc9ea27d0 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9ea24c0 .concat8 [ 1 1 1 1], L_0x559bc9ea1af0, L_0x559bc9e9f190, L_0x559bc9ea25e0, L_0x559bc9ea2ae0; +L_0x559bc9ea2ba0 .part v0x559bc9e8c570_0, 3, 1; +L_0x559bc9ea2dd0 .part v0x559bc9e8c650_0, 3, 1; +L_0x559bc9ea5150 .part L_0x559bc9ea1e30, 1, 4; +L_0x559bc9ea5340 .concat8 [ 4 1 0 0], L_0x559bc9ea4f20, L_0x559bc9ea4940; +L_0x559bc9ea5510 .part L_0x559bc9e9ebe0, 0, 1; +L_0x559bc9ea5780 .part L_0x559bc9ea1e30, 0, 1; +L_0x559bc9ea5470 .part L_0x559bc9ea5340, 0, 1; +L_0x559bc9ea5cb0 .part L_0x559bc9ea5340, 1, 1; +L_0x559bc9ea5f20 .part L_0x559bc9ea5340, 2, 1; +L_0x559bc9ea6290 .part L_0x559bc9ea5340, 3, 1; +LS_0x559bc9ea6380_0_0 .concat8 [ 1 1 1 1], L_0x559bc9e9acb0, L_0x559bc9ea4eb0, L_0x559bc9ea5710, L_0x559bc9ea58c0; +LS_0x559bc9ea6380_0_4 .concat8 [ 1 1 1 1], L_0x559bc9ea5c40, L_0x559bc9ea5df0, L_0x559bc9ea6220, L_0x559bc9ea6880; +L_0x559bc9ea6380 .concat8 [ 4 4 0 0], LS_0x559bc9ea6380_0_0, LS_0x559bc9ea6380_0_4; +L_0x559bc9ea6a10 .part L_0x559bc9ea5340, 4, 1; +S_0x559bc9e6b280 .scope module, "add0" "addition" 14 26, 5 1 0, S_0x559bc9e6b080; .timescale 0 0; .port_info 0 /INPUT 4 "A"; .port_info 1 /INPUT 4 "B"; @@ -1117,191 +1434,191 @@ S_0x556a362cf4e0 .scope module, "add0" "addition" 12 26, 5 1 0, S_0x556a362cf300 .port_info 3 /OUTPUT 4 "Y"; .port_info 4 /OUTPUT 1 "CarryOUT"; .port_info 5 /OUTPUT 1 "overflow"; -L_0x556a36302b10 .functor XOR 1, L_0x556a36302b80, L_0x556a363024c0, C4<0>, C4<0>; -v0x556a362d4e10_0 .net "A", 3 0, L_0x556a363005a0; alias, 1 drivers -v0x556a362d4ef0_0 .net "B", 3 0, L_0x556a362ff750; alias, 1 drivers -v0x556a362d4fd0_0 .net "Carry4", 2 0, L_0x556a36301ff0; 1 drivers -L_0x7fa150a3f060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -v0x556a362d5090_0 .net "CarryIN", 0 0, L_0x7fa150a3f060; 1 drivers -v0x556a362d5180_0 .net "CarryOUT", 0 0, L_0x556a363024c0; 1 drivers -v0x556a362d5270_0 .net "Y", 3 0, L_0x556a36302a70; 1 drivers -v0x556a362d5330_0 .net *"_ivl_39", 0 0, L_0x556a36302b80; 1 drivers -v0x556a362d5410_0 .net "overflow", 0 0, L_0x556a36302b10; alias, 1 drivers -L_0x556a36300ee0 .part L_0x556a363005a0, 0, 1; -L_0x556a36301010 .part L_0x556a362ff750, 0, 1; -L_0x556a363014a0 .part L_0x556a363005a0, 1, 1; -L_0x556a36301660 .part L_0x556a362ff750, 1, 1; -L_0x556a36301820 .part L_0x556a36301ff0, 0, 1; -L_0x556a36301c10 .part L_0x556a363005a0, 2, 1; -L_0x556a36301d40 .part L_0x556a362ff750, 2, 1; -L_0x556a36301e70 .part L_0x556a36301ff0, 1, 1; -L_0x556a36301ff0 .concat8 [ 1 1 1 0], L_0x556a36300e70, L_0x556a36301430, L_0x556a36301ba0; -L_0x556a36302580 .part L_0x556a363005a0, 3, 1; -L_0x556a36302710 .part L_0x556a362ff750, 3, 1; -L_0x556a36302840 .part L_0x556a36301ff0, 2, 1; -L_0x556a36302a70 .concat8 [ 1 1 1 1], L_0x556a36300d70, L_0x556a36301370, L_0x556a36301b30, L_0x556a36302400; -L_0x556a36302b80 .part L_0x556a36301ff0, 2, 1; -S_0x556a362cf780 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x556a362cf4e0; +L_0x559bc9e9ea50 .functor XOR 1, L_0x559bc9e9eac0, L_0x559bc9e9e400, C4<0>, C4<0>; +v0x559bc9e70bb0_0 .net "A", 3 0, L_0x559bc9e9c4e0; alias, 1 drivers +v0x559bc9e70c90_0 .net "B", 3 0, L_0x559bc9e9b5f0; alias, 1 drivers +v0x559bc9e70d70_0 .net "Carry4", 2 0, L_0x559bc9e9df30; 1 drivers +L_0x7f29537c6060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x559bc9e70e30_0 .net "CarryIN", 0 0, L_0x7f29537c6060; 1 drivers +v0x559bc9e70f20_0 .net "CarryOUT", 0 0, L_0x559bc9e9e400; 1 drivers +v0x559bc9e71010_0 .net "Y", 3 0, L_0x559bc9e9e9b0; 1 drivers +v0x559bc9e710d0_0 .net *"_ivl_39", 0 0, L_0x559bc9e9eac0; 1 drivers +v0x559bc9e711b0_0 .net "overflow", 0 0, L_0x559bc9e9ea50; alias, 1 drivers +L_0x559bc9e9ce20 .part L_0x559bc9e9c4e0, 0, 1; +L_0x559bc9e9cf50 .part L_0x559bc9e9b5f0, 0, 1; +L_0x559bc9e9d3e0 .part L_0x559bc9e9c4e0, 1, 1; +L_0x559bc9e9d5a0 .part L_0x559bc9e9b5f0, 1, 1; +L_0x559bc9e9d760 .part L_0x559bc9e9df30, 0, 1; +L_0x559bc9e9db50 .part L_0x559bc9e9c4e0, 2, 1; +L_0x559bc9e9dc80 .part L_0x559bc9e9b5f0, 2, 1; +L_0x559bc9e9ddb0 .part L_0x559bc9e9df30, 1, 1; +L_0x559bc9e9df30 .concat8 [ 1 1 1 0], L_0x559bc9e9cdb0, L_0x559bc9e9d370, L_0x559bc9e9dae0; +L_0x559bc9e9e4c0 .part L_0x559bc9e9c4e0, 3, 1; +L_0x559bc9e9e650 .part L_0x559bc9e9b5f0, 3, 1; +L_0x559bc9e9e780 .part L_0x559bc9e9df30, 2, 1; +L_0x559bc9e9e9b0 .concat8 [ 1 1 1 1], L_0x559bc9e9ccb0, L_0x559bc9e9d2b0, L_0x559bc9e9da70, L_0x559bc9e9e340; +L_0x559bc9e9eac0 .part L_0x559bc9e9df30, 2, 1; +S_0x559bc9e6b520 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x559bc9e6b280; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36300e70 .functor OR 1, L_0x556a36300ae0, L_0x556a36300cb0, C4<0>, C4<0>; -v0x556a362d06a0_0 .net "A", 0 0, L_0x556a36300ee0; 1 drivers -v0x556a362d0760_0 .net "B", 0 0, L_0x556a36301010; 1 drivers -v0x556a362d0830_0 .net "Carry", 0 0, L_0x7fa150a3f060; alias, 1 drivers -v0x556a362d0930_0 .net "CarryO", 0 0, L_0x556a36300e70; 1 drivers -v0x556a362d09d0_0 .net "Sum", 0 0, L_0x556a36300d70; 1 drivers -v0x556a362d0ac0_0 .net "and1", 0 0, L_0x556a36300ae0; 1 drivers -v0x556a362d0b90_0 .net "and2", 0 0, L_0x556a36300cb0; 1 drivers -v0x556a362d0c60_0 .net "xor1", 0 0, L_0x556a36300c40; 1 drivers -S_0x556a362cfa00 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362cf780; +L_0x559bc9e9cdb0 .functor OR 1, L_0x559bc9e9ca20, L_0x559bc9e9cbf0, C4<0>, C4<0>; +v0x559bc9e6c440_0 .net "A", 0 0, L_0x559bc9e9ce20; 1 drivers +v0x559bc9e6c500_0 .net "B", 0 0, L_0x559bc9e9cf50; 1 drivers +v0x559bc9e6c5d0_0 .net "Carry", 0 0, L_0x7f29537c6060; alias, 1 drivers +v0x559bc9e6c6d0_0 .net "CarryO", 0 0, L_0x559bc9e9cdb0; 1 drivers +v0x559bc9e6c770_0 .net "Sum", 0 0, L_0x559bc9e9ccb0; 1 drivers +v0x559bc9e6c860_0 .net "and1", 0 0, L_0x559bc9e9ca20; 1 drivers +v0x559bc9e6c930_0 .net "and2", 0 0, L_0x559bc9e9cbf0; 1 drivers +v0x559bc9e6ca00_0 .net "xor1", 0 0, L_0x559bc9e9cb80; 1 drivers +S_0x559bc9e6b7a0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e6b520; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36300ae0 .functor AND 1, L_0x556a36300ee0, L_0x556a36301010, C4<1>, C4<1>; -L_0x556a36300c40 .functor XOR 1, L_0x556a36300ee0, L_0x556a36301010, C4<0>, C4<0>; -v0x556a362cfca0_0 .net "A", 0 0, L_0x556a36300ee0; alias, 1 drivers -v0x556a362cfd80_0 .net "B", 0 0, L_0x556a36301010; alias, 1 drivers -v0x556a362cfe40_0 .net "Carry", 0 0, L_0x556a36300ae0; alias, 1 drivers -v0x556a362cff10_0 .net "Sum", 0 0, L_0x556a36300c40; alias, 1 drivers -S_0x556a362d0080 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362cf780; +L_0x559bc9e9ca20 .functor AND 1, L_0x559bc9e9ce20, L_0x559bc9e9cf50, C4<1>, C4<1>; +L_0x559bc9e9cb80 .functor XOR 1, L_0x559bc9e9ce20, L_0x559bc9e9cf50, C4<0>, C4<0>; +v0x559bc9e6ba40_0 .net "A", 0 0, L_0x559bc9e9ce20; alias, 1 drivers +v0x559bc9e6bb20_0 .net "B", 0 0, L_0x559bc9e9cf50; alias, 1 drivers +v0x559bc9e6bbe0_0 .net "Carry", 0 0, L_0x559bc9e9ca20; alias, 1 drivers +v0x559bc9e6bcb0_0 .net "Sum", 0 0, L_0x559bc9e9cb80; alias, 1 drivers +S_0x559bc9e6be20 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e6b520; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36300cb0 .functor AND 1, L_0x556a36300c40, L_0x7fa150a3f060, C4<1>, C4<1>; -L_0x556a36300d70 .functor XOR 1, L_0x556a36300c40, L_0x7fa150a3f060, C4<0>, C4<0>; -v0x556a362d02f0_0 .net "A", 0 0, L_0x556a36300c40; alias, 1 drivers -v0x556a362d03c0_0 .net "B", 0 0, L_0x7fa150a3f060; alias, 1 drivers -v0x556a362d0460_0 .net "Carry", 0 0, L_0x556a36300cb0; alias, 1 drivers -v0x556a362d0530_0 .net "Sum", 0 0, L_0x556a36300d70; alias, 1 drivers -S_0x556a362d0d50 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x556a362cf4e0; +L_0x559bc9e9cbf0 .functor AND 1, L_0x559bc9e9cb80, L_0x7f29537c6060, C4<1>, C4<1>; +L_0x559bc9e9ccb0 .functor XOR 1, L_0x559bc9e9cb80, L_0x7f29537c6060, C4<0>, C4<0>; +v0x559bc9e6c090_0 .net "A", 0 0, L_0x559bc9e9cb80; alias, 1 drivers +v0x559bc9e6c160_0 .net "B", 0 0, L_0x7f29537c6060; alias, 1 drivers +v0x559bc9e6c200_0 .net "Carry", 0 0, L_0x559bc9e9cbf0; alias, 1 drivers +v0x559bc9e6c2d0_0 .net "Sum", 0 0, L_0x559bc9e9ccb0; alias, 1 drivers +S_0x559bc9e6caf0 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x559bc9e6b280; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36301430 .functor OR 1, L_0x556a36301140, L_0x556a36301220, C4<0>, C4<0>; -v0x556a362d1c30_0 .net "A", 0 0, L_0x556a363014a0; 1 drivers -v0x556a362d1cf0_0 .net "B", 0 0, L_0x556a36301660; 1 drivers -v0x556a362d1dc0_0 .net "Carry", 0 0, L_0x556a36301820; 1 drivers -v0x556a362d1ec0_0 .net "CarryO", 0 0, L_0x556a36301430; 1 drivers -v0x556a362d1f60_0 .net "Sum", 0 0, L_0x556a36301370; 1 drivers -v0x556a362d2050_0 .net "and1", 0 0, L_0x556a36301140; 1 drivers -v0x556a362d2120_0 .net "and2", 0 0, L_0x556a36301220; 1 drivers -v0x556a362d21f0_0 .net "xor1", 0 0, L_0x556a363011b0; 1 drivers -S_0x556a362d0fb0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362d0d50; +L_0x559bc9e9d370 .functor OR 1, L_0x559bc9e9d080, L_0x559bc9e9d160, C4<0>, C4<0>; +v0x559bc9e6d9d0_0 .net "A", 0 0, L_0x559bc9e9d3e0; 1 drivers +v0x559bc9e6da90_0 .net "B", 0 0, L_0x559bc9e9d5a0; 1 drivers +v0x559bc9e6db60_0 .net "Carry", 0 0, L_0x559bc9e9d760; 1 drivers +v0x559bc9e6dc60_0 .net "CarryO", 0 0, L_0x559bc9e9d370; 1 drivers +v0x559bc9e6dd00_0 .net "Sum", 0 0, L_0x559bc9e9d2b0; 1 drivers +v0x559bc9e6ddf0_0 .net "and1", 0 0, L_0x559bc9e9d080; 1 drivers +v0x559bc9e6dec0_0 .net "and2", 0 0, L_0x559bc9e9d160; 1 drivers +v0x559bc9e6df90_0 .net "xor1", 0 0, L_0x559bc9e9d0f0; 1 drivers +S_0x559bc9e6cd50 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e6caf0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36301140 .functor AND 1, L_0x556a363014a0, L_0x556a36301660, C4<1>, C4<1>; -L_0x556a363011b0 .functor XOR 1, L_0x556a363014a0, L_0x556a36301660, C4<0>, C4<0>; -v0x556a362d1230_0 .net "A", 0 0, L_0x556a363014a0; alias, 1 drivers -v0x556a362d1310_0 .net "B", 0 0, L_0x556a36301660; alias, 1 drivers -v0x556a362d13d0_0 .net "Carry", 0 0, L_0x556a36301140; alias, 1 drivers -v0x556a362d14a0_0 .net "Sum", 0 0, L_0x556a363011b0; alias, 1 drivers -S_0x556a362d1610 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362d0d50; +L_0x559bc9e9d080 .functor AND 1, L_0x559bc9e9d3e0, L_0x559bc9e9d5a0, C4<1>, C4<1>; +L_0x559bc9e9d0f0 .functor XOR 1, L_0x559bc9e9d3e0, L_0x559bc9e9d5a0, C4<0>, C4<0>; +v0x559bc9e6cfd0_0 .net "A", 0 0, L_0x559bc9e9d3e0; alias, 1 drivers +v0x559bc9e6d0b0_0 .net "B", 0 0, L_0x559bc9e9d5a0; alias, 1 drivers +v0x559bc9e6d170_0 .net "Carry", 0 0, L_0x559bc9e9d080; alias, 1 drivers +v0x559bc9e6d240_0 .net "Sum", 0 0, L_0x559bc9e9d0f0; alias, 1 drivers +S_0x559bc9e6d3b0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e6caf0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36301220 .functor AND 1, L_0x556a363011b0, L_0x556a36301820, C4<1>, C4<1>; -L_0x556a36301370 .functor XOR 1, L_0x556a363011b0, L_0x556a36301820, C4<0>, C4<0>; -v0x556a362d1880_0 .net "A", 0 0, L_0x556a363011b0; alias, 1 drivers -v0x556a362d1950_0 .net "B", 0 0, L_0x556a36301820; alias, 1 drivers -v0x556a362d19f0_0 .net "Carry", 0 0, L_0x556a36301220; alias, 1 drivers -v0x556a362d1ac0_0 .net "Sum", 0 0, L_0x556a36301370; alias, 1 drivers -S_0x556a362d22e0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x556a362cf4e0; +L_0x559bc9e9d160 .functor AND 1, L_0x559bc9e9d0f0, L_0x559bc9e9d760, C4<1>, C4<1>; +L_0x559bc9e9d2b0 .functor XOR 1, L_0x559bc9e9d0f0, L_0x559bc9e9d760, C4<0>, C4<0>; +v0x559bc9e6d620_0 .net "A", 0 0, L_0x559bc9e9d0f0; alias, 1 drivers +v0x559bc9e6d6f0_0 .net "B", 0 0, L_0x559bc9e9d760; alias, 1 drivers +v0x559bc9e6d790_0 .net "Carry", 0 0, L_0x559bc9e9d160; alias, 1 drivers +v0x559bc9e6d860_0 .net "Sum", 0 0, L_0x559bc9e9d2b0; alias, 1 drivers +S_0x559bc9e6e080 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x559bc9e6b280; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36301ba0 .functor OR 1, L_0x556a36301950, L_0x556a36301a30, C4<0>, C4<0>; -v0x556a362d31d0_0 .net "A", 0 0, L_0x556a36301c10; 1 drivers -v0x556a362d3290_0 .net "B", 0 0, L_0x556a36301d40; 1 drivers -v0x556a362d3360_0 .net "Carry", 0 0, L_0x556a36301e70; 1 drivers -v0x556a362d3460_0 .net "CarryO", 0 0, L_0x556a36301ba0; 1 drivers -v0x556a362d3500_0 .net "Sum", 0 0, L_0x556a36301b30; 1 drivers -v0x556a362d35f0_0 .net "and1", 0 0, L_0x556a36301950; 1 drivers -v0x556a362d36c0_0 .net "and2", 0 0, L_0x556a36301a30; 1 drivers -v0x556a362d3790_0 .net "xor1", 0 0, L_0x556a363019c0; 1 drivers -S_0x556a362d2570 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362d22e0; +L_0x559bc9e9dae0 .functor OR 1, L_0x559bc9e9d890, L_0x559bc9e9d970, C4<0>, C4<0>; +v0x559bc9e6ef70_0 .net "A", 0 0, L_0x559bc9e9db50; 1 drivers +v0x559bc9e6f030_0 .net "B", 0 0, L_0x559bc9e9dc80; 1 drivers +v0x559bc9e6f100_0 .net "Carry", 0 0, L_0x559bc9e9ddb0; 1 drivers +v0x559bc9e6f200_0 .net "CarryO", 0 0, L_0x559bc9e9dae0; 1 drivers +v0x559bc9e6f2a0_0 .net "Sum", 0 0, L_0x559bc9e9da70; 1 drivers +v0x559bc9e6f390_0 .net "and1", 0 0, L_0x559bc9e9d890; 1 drivers +v0x559bc9e6f460_0 .net "and2", 0 0, L_0x559bc9e9d970; 1 drivers +v0x559bc9e6f530_0 .net "xor1", 0 0, L_0x559bc9e9d900; 1 drivers +S_0x559bc9e6e310 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e6e080; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36301950 .functor AND 1, L_0x556a36301c10, L_0x556a36301d40, C4<1>, C4<1>; -L_0x556a363019c0 .functor XOR 1, L_0x556a36301c10, L_0x556a36301d40, C4<0>, C4<0>; -v0x556a362d27f0_0 .net "A", 0 0, L_0x556a36301c10; alias, 1 drivers -v0x556a362d28b0_0 .net "B", 0 0, L_0x556a36301d40; alias, 1 drivers -v0x556a362d2970_0 .net "Carry", 0 0, L_0x556a36301950; alias, 1 drivers -v0x556a362d2a40_0 .net "Sum", 0 0, L_0x556a363019c0; alias, 1 drivers -S_0x556a362d2bb0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362d22e0; +L_0x559bc9e9d890 .functor AND 1, L_0x559bc9e9db50, L_0x559bc9e9dc80, C4<1>, C4<1>; +L_0x559bc9e9d900 .functor XOR 1, L_0x559bc9e9db50, L_0x559bc9e9dc80, C4<0>, C4<0>; +v0x559bc9e6e590_0 .net "A", 0 0, L_0x559bc9e9db50; alias, 1 drivers +v0x559bc9e6e650_0 .net "B", 0 0, L_0x559bc9e9dc80; alias, 1 drivers +v0x559bc9e6e710_0 .net "Carry", 0 0, L_0x559bc9e9d890; alias, 1 drivers +v0x559bc9e6e7e0_0 .net "Sum", 0 0, L_0x559bc9e9d900; alias, 1 drivers +S_0x559bc9e6e950 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e6e080; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36301a30 .functor AND 1, L_0x556a363019c0, L_0x556a36301e70, C4<1>, C4<1>; -L_0x556a36301b30 .functor XOR 1, L_0x556a363019c0, L_0x556a36301e70, C4<0>, C4<0>; -v0x556a362d2e20_0 .net "A", 0 0, L_0x556a363019c0; alias, 1 drivers -v0x556a362d2ef0_0 .net "B", 0 0, L_0x556a36301e70; alias, 1 drivers -v0x556a362d2f90_0 .net "Carry", 0 0, L_0x556a36301a30; alias, 1 drivers -v0x556a362d3060_0 .net "Sum", 0 0, L_0x556a36301b30; alias, 1 drivers -S_0x556a362d3880 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x556a362cf4e0; +L_0x559bc9e9d970 .functor AND 1, L_0x559bc9e9d900, L_0x559bc9e9ddb0, C4<1>, C4<1>; +L_0x559bc9e9da70 .functor XOR 1, L_0x559bc9e9d900, L_0x559bc9e9ddb0, C4<0>, C4<0>; +v0x559bc9e6ebc0_0 .net "A", 0 0, L_0x559bc9e9d900; alias, 1 drivers +v0x559bc9e6ec90_0 .net "B", 0 0, L_0x559bc9e9ddb0; alias, 1 drivers +v0x559bc9e6ed30_0 .net "Carry", 0 0, L_0x559bc9e9d970; alias, 1 drivers +v0x559bc9e6ee00_0 .net "Sum", 0 0, L_0x559bc9e9da70; alias, 1 drivers +S_0x559bc9e6f620 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x559bc9e6b280; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a363024c0 .functor OR 1, L_0x556a363020e0, L_0x556a363022b0, C4<0>, C4<0>; -v0x556a362d4760_0 .net "A", 0 0, L_0x556a36302580; 1 drivers -v0x556a362d4820_0 .net "B", 0 0, L_0x556a36302710; 1 drivers -v0x556a362d48f0_0 .net "Carry", 0 0, L_0x556a36302840; 1 drivers -v0x556a362d49f0_0 .net "CarryO", 0 0, L_0x556a363024c0; alias, 1 drivers -v0x556a362d4a90_0 .net "Sum", 0 0, L_0x556a36302400; 1 drivers -v0x556a362d4b80_0 .net "and1", 0 0, L_0x556a363020e0; 1 drivers -v0x556a362d4c50_0 .net "and2", 0 0, L_0x556a363022b0; 1 drivers -v0x556a362d4d20_0 .net "xor1", 0 0, L_0x556a36302240; 1 drivers -S_0x556a362d3ae0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362d3880; +L_0x559bc9e9e400 .functor OR 1, L_0x559bc9e9e020, L_0x559bc9e9e1f0, C4<0>, C4<0>; +v0x559bc9e70500_0 .net "A", 0 0, L_0x559bc9e9e4c0; 1 drivers +v0x559bc9e705c0_0 .net "B", 0 0, L_0x559bc9e9e650; 1 drivers +v0x559bc9e70690_0 .net "Carry", 0 0, L_0x559bc9e9e780; 1 drivers +v0x559bc9e70790_0 .net "CarryO", 0 0, L_0x559bc9e9e400; alias, 1 drivers +v0x559bc9e70830_0 .net "Sum", 0 0, L_0x559bc9e9e340; 1 drivers +v0x559bc9e70920_0 .net "and1", 0 0, L_0x559bc9e9e020; 1 drivers +v0x559bc9e709f0_0 .net "and2", 0 0, L_0x559bc9e9e1f0; 1 drivers +v0x559bc9e70ac0_0 .net "xor1", 0 0, L_0x559bc9e9e180; 1 drivers +S_0x559bc9e6f880 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e6f620; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a363020e0 .functor AND 1, L_0x556a36302580, L_0x556a36302710, C4<1>, C4<1>; -L_0x556a36302240 .functor XOR 1, L_0x556a36302580, L_0x556a36302710, C4<0>, C4<0>; -v0x556a362d3d60_0 .net "A", 0 0, L_0x556a36302580; alias, 1 drivers -v0x556a362d3e40_0 .net "B", 0 0, L_0x556a36302710; alias, 1 drivers -v0x556a362d3f00_0 .net "Carry", 0 0, L_0x556a363020e0; alias, 1 drivers -v0x556a362d3fd0_0 .net "Sum", 0 0, L_0x556a36302240; alias, 1 drivers -S_0x556a362d4140 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362d3880; +L_0x559bc9e9e020 .functor AND 1, L_0x559bc9e9e4c0, L_0x559bc9e9e650, C4<1>, C4<1>; +L_0x559bc9e9e180 .functor XOR 1, L_0x559bc9e9e4c0, L_0x559bc9e9e650, C4<0>, C4<0>; +v0x559bc9e6fb00_0 .net "A", 0 0, L_0x559bc9e9e4c0; alias, 1 drivers +v0x559bc9e6fbe0_0 .net "B", 0 0, L_0x559bc9e9e650; alias, 1 drivers +v0x559bc9e6fca0_0 .net "Carry", 0 0, L_0x559bc9e9e020; alias, 1 drivers +v0x559bc9e6fd70_0 .net "Sum", 0 0, L_0x559bc9e9e180; alias, 1 drivers +S_0x559bc9e6fee0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e6f620; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a363022b0 .functor AND 1, L_0x556a36302240, L_0x556a36302840, C4<1>, C4<1>; -L_0x556a36302400 .functor XOR 1, L_0x556a36302240, L_0x556a36302840, C4<0>, C4<0>; -v0x556a362d43b0_0 .net "A", 0 0, L_0x556a36302240; alias, 1 drivers -v0x556a362d4480_0 .net "B", 0 0, L_0x556a36302840; alias, 1 drivers -v0x556a362d4520_0 .net "Carry", 0 0, L_0x556a363022b0; alias, 1 drivers -v0x556a362d45f0_0 .net "Sum", 0 0, L_0x556a36302400; alias, 1 drivers -S_0x556a362d5590 .scope module, "add1" "addition" 12 42, 5 1 0, S_0x556a362cf300; +L_0x559bc9e9e1f0 .functor AND 1, L_0x559bc9e9e180, L_0x559bc9e9e780, C4<1>, C4<1>; +L_0x559bc9e9e340 .functor XOR 1, L_0x559bc9e9e180, L_0x559bc9e9e780, C4<0>, C4<0>; +v0x559bc9e70150_0 .net "A", 0 0, L_0x559bc9e9e180; alias, 1 drivers +v0x559bc9e70220_0 .net "B", 0 0, L_0x559bc9e9e780; alias, 1 drivers +v0x559bc9e702c0_0 .net "Carry", 0 0, L_0x559bc9e9e1f0; alias, 1 drivers +v0x559bc9e70390_0 .net "Sum", 0 0, L_0x559bc9e9e340; alias, 1 drivers +S_0x559bc9e71330 .scope module, "add1" "addition" 14 42, 5 1 0, S_0x559bc9e6b080; .timescale 0 0; .port_info 0 /INPUT 4 "A"; .port_info 1 /INPUT 4 "B"; @@ -1309,191 +1626,191 @@ S_0x556a362d5590 .scope module, "add1" "addition" 12 42, 5 1 0, S_0x556a362cf300 .port_info 3 /OUTPUT 4 "Y"; .port_info 4 /OUTPUT 1 "CarryOUT"; .port_info 5 /OUTPUT 1 "overflow"; -L_0x556a36305cc0 .functor XOR 1, L_0x556a36305d30, L_0x556a36305640, C4<0>, C4<0>; -v0x556a362daea0_0 .net "A", 3 0, L_0x556a36303570; alias, 1 drivers -v0x556a362daf80_0 .net "B", 3 0, L_0x556a36305e50; 1 drivers -v0x556a362db060_0 .net "Carry4", 2 0, L_0x556a36305170; 1 drivers -L_0x7fa150a3f0a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -v0x556a362db120_0 .net "CarryIN", 0 0, L_0x7fa150a3f0a8; 1 drivers -v0x556a362db210_0 .net "CarryOUT", 0 0, L_0x556a36305640; 1 drivers -v0x556a362db300_0 .net "Y", 3 0, L_0x556a36305c20; 1 drivers -v0x556a362db3c0_0 .net *"_ivl_39", 0 0, L_0x556a36305d30; 1 drivers -v0x556a362db4a0_0 .net "overflow", 0 0, L_0x556a36305cc0; alias, 1 drivers -L_0x556a36304050 .part L_0x556a36303570, 0, 1; -L_0x556a36304180 .part L_0x556a36305e50, 0, 1; -L_0x556a36304610 .part L_0x556a36303570, 1, 1; -L_0x556a363047d0 .part L_0x556a36305e50, 1, 1; -L_0x556a36304900 .part L_0x556a36305170, 0, 1; -L_0x556a36304d90 .part L_0x556a36303570, 2, 1; -L_0x556a36304ec0 .part L_0x556a36305e50, 2, 1; -L_0x556a36304ff0 .part L_0x556a36305170, 1, 1; -L_0x556a36305170 .concat8 [ 1 1 1 0], L_0x556a36303fe0, L_0x556a363045a0, L_0x556a36304d20; -L_0x556a36305700 .part L_0x556a36303570, 3, 1; -L_0x556a36305830 .part L_0x556a36305e50, 3, 1; -L_0x556a363059f0 .part L_0x556a36305170, 2, 1; -L_0x556a36305c20 .concat8 [ 1 1 1 1], L_0x556a36303ee0, L_0x556a363044e0, L_0x556a36304c60, L_0x556a36305580; -L_0x556a36305d30 .part L_0x556a36305170, 2, 1; -S_0x556a362d5830 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x556a362d5590; +L_0x559bc9ea1c00 .functor XOR 1, L_0x559bc9ea1c70, L_0x559bc9ea1580, C4<0>, C4<0>; +v0x559bc9e76c40_0 .net "A", 3 0, L_0x559bc9e9f4b0; alias, 1 drivers +v0x559bc9e76d20_0 .net "B", 3 0, L_0x559bc9ea1d90; 1 drivers +v0x559bc9e76e00_0 .net "Carry4", 2 0, L_0x559bc9ea10b0; 1 drivers +L_0x7f29537c60a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x559bc9e76ec0_0 .net "CarryIN", 0 0, L_0x7f29537c60a8; 1 drivers +v0x559bc9e76fb0_0 .net "CarryOUT", 0 0, L_0x559bc9ea1580; 1 drivers +v0x559bc9e770a0_0 .net "Y", 3 0, L_0x559bc9ea1b60; 1 drivers +v0x559bc9e77160_0 .net *"_ivl_39", 0 0, L_0x559bc9ea1c70; 1 drivers +v0x559bc9e77240_0 .net "overflow", 0 0, L_0x559bc9ea1c00; alias, 1 drivers +L_0x559bc9e9ff90 .part L_0x559bc9e9f4b0, 0, 1; +L_0x559bc9ea00c0 .part L_0x559bc9ea1d90, 0, 1; +L_0x559bc9ea0550 .part L_0x559bc9e9f4b0, 1, 1; +L_0x559bc9ea0710 .part L_0x559bc9ea1d90, 1, 1; +L_0x559bc9ea0840 .part L_0x559bc9ea10b0, 0, 1; +L_0x559bc9ea0cd0 .part L_0x559bc9e9f4b0, 2, 1; +L_0x559bc9ea0e00 .part L_0x559bc9ea1d90, 2, 1; +L_0x559bc9ea0f30 .part L_0x559bc9ea10b0, 1, 1; +L_0x559bc9ea10b0 .concat8 [ 1 1 1 0], L_0x559bc9e9ff20, L_0x559bc9ea04e0, L_0x559bc9ea0c60; +L_0x559bc9ea1640 .part L_0x559bc9e9f4b0, 3, 1; +L_0x559bc9ea1770 .part L_0x559bc9ea1d90, 3, 1; +L_0x559bc9ea1930 .part L_0x559bc9ea10b0, 2, 1; +L_0x559bc9ea1b60 .concat8 [ 1 1 1 1], L_0x559bc9e9fe20, L_0x559bc9ea0420, L_0x559bc9ea0ba0, L_0x559bc9ea14c0; +L_0x559bc9ea1c70 .part L_0x559bc9ea10b0, 2, 1; +S_0x559bc9e715d0 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x559bc9e71330; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36303fe0 .functor OR 1, L_0x556a36303bc0, L_0x556a36303d90, C4<0>, C4<0>; -v0x556a362d6730_0 .net "A", 0 0, L_0x556a36304050; 1 drivers -v0x556a362d67f0_0 .net "B", 0 0, L_0x556a36304180; 1 drivers -v0x556a362d68c0_0 .net "Carry", 0 0, L_0x7fa150a3f0a8; alias, 1 drivers -v0x556a362d69c0_0 .net "CarryO", 0 0, L_0x556a36303fe0; 1 drivers -v0x556a362d6a60_0 .net "Sum", 0 0, L_0x556a36303ee0; 1 drivers -v0x556a362d6b50_0 .net "and1", 0 0, L_0x556a36303bc0; 1 drivers -v0x556a362d6c20_0 .net "and2", 0 0, L_0x556a36303d90; 1 drivers -v0x556a362d6cf0_0 .net "xor1", 0 0, L_0x556a36303d20; 1 drivers -S_0x556a362d5a90 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362d5830; +L_0x559bc9e9ff20 .functor OR 1, L_0x559bc9e9fb00, L_0x559bc9e9fcd0, C4<0>, C4<0>; +v0x559bc9e724d0_0 .net "A", 0 0, L_0x559bc9e9ff90; 1 drivers +v0x559bc9e72590_0 .net "B", 0 0, L_0x559bc9ea00c0; 1 drivers +v0x559bc9e72660_0 .net "Carry", 0 0, L_0x7f29537c60a8; alias, 1 drivers +v0x559bc9e72760_0 .net "CarryO", 0 0, L_0x559bc9e9ff20; 1 drivers +v0x559bc9e72800_0 .net "Sum", 0 0, L_0x559bc9e9fe20; 1 drivers +v0x559bc9e728f0_0 .net "and1", 0 0, L_0x559bc9e9fb00; 1 drivers +v0x559bc9e729c0_0 .net "and2", 0 0, L_0x559bc9e9fcd0; 1 drivers +v0x559bc9e72a90_0 .net "xor1", 0 0, L_0x559bc9e9fc60; 1 drivers +S_0x559bc9e71830 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e715d0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36303bc0 .functor AND 1, L_0x556a36304050, L_0x556a36304180, C4<1>, C4<1>; -L_0x556a36303d20 .functor XOR 1, L_0x556a36304050, L_0x556a36304180, C4<0>, C4<0>; -v0x556a362d5d30_0 .net "A", 0 0, L_0x556a36304050; alias, 1 drivers -v0x556a362d5e10_0 .net "B", 0 0, L_0x556a36304180; alias, 1 drivers -v0x556a362d5ed0_0 .net "Carry", 0 0, L_0x556a36303bc0; alias, 1 drivers -v0x556a362d5fa0_0 .net "Sum", 0 0, L_0x556a36303d20; alias, 1 drivers -S_0x556a362d6110 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362d5830; +L_0x559bc9e9fb00 .functor AND 1, L_0x559bc9e9ff90, L_0x559bc9ea00c0, C4<1>, C4<1>; +L_0x559bc9e9fc60 .functor XOR 1, L_0x559bc9e9ff90, L_0x559bc9ea00c0, C4<0>, C4<0>; +v0x559bc9e71ad0_0 .net "A", 0 0, L_0x559bc9e9ff90; alias, 1 drivers +v0x559bc9e71bb0_0 .net "B", 0 0, L_0x559bc9ea00c0; alias, 1 drivers +v0x559bc9e71c70_0 .net "Carry", 0 0, L_0x559bc9e9fb00; alias, 1 drivers +v0x559bc9e71d40_0 .net "Sum", 0 0, L_0x559bc9e9fc60; alias, 1 drivers +S_0x559bc9e71eb0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e715d0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36303d90 .functor AND 1, L_0x556a36303d20, L_0x7fa150a3f0a8, C4<1>, C4<1>; -L_0x556a36303ee0 .functor XOR 1, L_0x556a36303d20, L_0x7fa150a3f0a8, C4<0>, C4<0>; -v0x556a362d6380_0 .net "A", 0 0, L_0x556a36303d20; alias, 1 drivers -v0x556a362d6450_0 .net "B", 0 0, L_0x7fa150a3f0a8; alias, 1 drivers -v0x556a362d64f0_0 .net "Carry", 0 0, L_0x556a36303d90; alias, 1 drivers -v0x556a362d65c0_0 .net "Sum", 0 0, L_0x556a36303ee0; alias, 1 drivers -S_0x556a362d6de0 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x556a362d5590; +L_0x559bc9e9fcd0 .functor AND 1, L_0x559bc9e9fc60, L_0x7f29537c60a8, C4<1>, C4<1>; +L_0x559bc9e9fe20 .functor XOR 1, L_0x559bc9e9fc60, L_0x7f29537c60a8, C4<0>, C4<0>; +v0x559bc9e72120_0 .net "A", 0 0, L_0x559bc9e9fc60; alias, 1 drivers +v0x559bc9e721f0_0 .net "B", 0 0, L_0x7f29537c60a8; alias, 1 drivers +v0x559bc9e72290_0 .net "Carry", 0 0, L_0x559bc9e9fcd0; alias, 1 drivers +v0x559bc9e72360_0 .net "Sum", 0 0, L_0x559bc9e9fe20; alias, 1 drivers +S_0x559bc9e72b80 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x559bc9e71330; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a363045a0 .functor OR 1, L_0x556a363042b0, L_0x556a36304390, C4<0>, C4<0>; -v0x556a362d7cc0_0 .net "A", 0 0, L_0x556a36304610; 1 drivers -v0x556a362d7d80_0 .net "B", 0 0, L_0x556a363047d0; 1 drivers -v0x556a362d7e50_0 .net "Carry", 0 0, L_0x556a36304900; 1 drivers -v0x556a362d7f50_0 .net "CarryO", 0 0, L_0x556a363045a0; 1 drivers -v0x556a362d7ff0_0 .net "Sum", 0 0, L_0x556a363044e0; 1 drivers -v0x556a362d80e0_0 .net "and1", 0 0, L_0x556a363042b0; 1 drivers -v0x556a362d81b0_0 .net "and2", 0 0, L_0x556a36304390; 1 drivers -v0x556a362d8280_0 .net "xor1", 0 0, L_0x556a36304320; 1 drivers -S_0x556a362d7040 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362d6de0; +L_0x559bc9ea04e0 .functor OR 1, L_0x559bc9ea01f0, L_0x559bc9ea02d0, C4<0>, C4<0>; +v0x559bc9e73a60_0 .net "A", 0 0, L_0x559bc9ea0550; 1 drivers +v0x559bc9e73b20_0 .net "B", 0 0, L_0x559bc9ea0710; 1 drivers +v0x559bc9e73bf0_0 .net "Carry", 0 0, L_0x559bc9ea0840; 1 drivers +v0x559bc9e73cf0_0 .net "CarryO", 0 0, L_0x559bc9ea04e0; 1 drivers +v0x559bc9e73d90_0 .net "Sum", 0 0, L_0x559bc9ea0420; 1 drivers +v0x559bc9e73e80_0 .net "and1", 0 0, L_0x559bc9ea01f0; 1 drivers +v0x559bc9e73f50_0 .net "and2", 0 0, L_0x559bc9ea02d0; 1 drivers +v0x559bc9e74020_0 .net "xor1", 0 0, L_0x559bc9ea0260; 1 drivers +S_0x559bc9e72de0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e72b80; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a363042b0 .functor AND 1, L_0x556a36304610, L_0x556a363047d0, C4<1>, C4<1>; -L_0x556a36304320 .functor XOR 1, L_0x556a36304610, L_0x556a363047d0, C4<0>, C4<0>; -v0x556a362d72c0_0 .net "A", 0 0, L_0x556a36304610; alias, 1 drivers -v0x556a362d73a0_0 .net "B", 0 0, L_0x556a363047d0; alias, 1 drivers -v0x556a362d7460_0 .net "Carry", 0 0, L_0x556a363042b0; alias, 1 drivers -v0x556a362d7530_0 .net "Sum", 0 0, L_0x556a36304320; alias, 1 drivers -S_0x556a362d76a0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362d6de0; +L_0x559bc9ea01f0 .functor AND 1, L_0x559bc9ea0550, L_0x559bc9ea0710, C4<1>, C4<1>; +L_0x559bc9ea0260 .functor XOR 1, L_0x559bc9ea0550, L_0x559bc9ea0710, C4<0>, C4<0>; +v0x559bc9e73060_0 .net "A", 0 0, L_0x559bc9ea0550; alias, 1 drivers +v0x559bc9e73140_0 .net "B", 0 0, L_0x559bc9ea0710; alias, 1 drivers +v0x559bc9e73200_0 .net "Carry", 0 0, L_0x559bc9ea01f0; alias, 1 drivers +v0x559bc9e732d0_0 .net "Sum", 0 0, L_0x559bc9ea0260; alias, 1 drivers +S_0x559bc9e73440 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e72b80; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36304390 .functor AND 1, L_0x556a36304320, L_0x556a36304900, C4<1>, C4<1>; -L_0x556a363044e0 .functor XOR 1, L_0x556a36304320, L_0x556a36304900, C4<0>, C4<0>; -v0x556a362d7910_0 .net "A", 0 0, L_0x556a36304320; alias, 1 drivers -v0x556a362d79e0_0 .net "B", 0 0, L_0x556a36304900; alias, 1 drivers -v0x556a362d7a80_0 .net "Carry", 0 0, L_0x556a36304390; alias, 1 drivers -v0x556a362d7b50_0 .net "Sum", 0 0, L_0x556a363044e0; alias, 1 drivers -S_0x556a362d8370 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x556a362d5590; +L_0x559bc9ea02d0 .functor AND 1, L_0x559bc9ea0260, L_0x559bc9ea0840, C4<1>, C4<1>; +L_0x559bc9ea0420 .functor XOR 1, L_0x559bc9ea0260, L_0x559bc9ea0840, C4<0>, C4<0>; +v0x559bc9e736b0_0 .net "A", 0 0, L_0x559bc9ea0260; alias, 1 drivers +v0x559bc9e73780_0 .net "B", 0 0, L_0x559bc9ea0840; alias, 1 drivers +v0x559bc9e73820_0 .net "Carry", 0 0, L_0x559bc9ea02d0; alias, 1 drivers +v0x559bc9e738f0_0 .net "Sum", 0 0, L_0x559bc9ea0420; alias, 1 drivers +S_0x559bc9e74110 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x559bc9e71330; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36304d20 .functor OR 1, L_0x556a36304a30, L_0x556a36304b10, C4<0>, C4<0>; -v0x556a362d9260_0 .net "A", 0 0, L_0x556a36304d90; 1 drivers -v0x556a362d9320_0 .net "B", 0 0, L_0x556a36304ec0; 1 drivers -v0x556a362d93f0_0 .net "Carry", 0 0, L_0x556a36304ff0; 1 drivers -v0x556a362d94f0_0 .net "CarryO", 0 0, L_0x556a36304d20; 1 drivers -v0x556a362d9590_0 .net "Sum", 0 0, L_0x556a36304c60; 1 drivers -v0x556a362d9680_0 .net "and1", 0 0, L_0x556a36304a30; 1 drivers -v0x556a362d9750_0 .net "and2", 0 0, L_0x556a36304b10; 1 drivers -v0x556a362d9820_0 .net "xor1", 0 0, L_0x556a36304aa0; 1 drivers -S_0x556a362d8600 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362d8370; +L_0x559bc9ea0c60 .functor OR 1, L_0x559bc9ea0970, L_0x559bc9ea0a50, C4<0>, C4<0>; +v0x559bc9e75000_0 .net "A", 0 0, L_0x559bc9ea0cd0; 1 drivers +v0x559bc9e750c0_0 .net "B", 0 0, L_0x559bc9ea0e00; 1 drivers +v0x559bc9e75190_0 .net "Carry", 0 0, L_0x559bc9ea0f30; 1 drivers +v0x559bc9e75290_0 .net "CarryO", 0 0, L_0x559bc9ea0c60; 1 drivers +v0x559bc9e75330_0 .net "Sum", 0 0, L_0x559bc9ea0ba0; 1 drivers +v0x559bc9e75420_0 .net "and1", 0 0, L_0x559bc9ea0970; 1 drivers +v0x559bc9e754f0_0 .net "and2", 0 0, L_0x559bc9ea0a50; 1 drivers +v0x559bc9e755c0_0 .net "xor1", 0 0, L_0x559bc9ea09e0; 1 drivers +S_0x559bc9e743a0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e74110; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36304a30 .functor AND 1, L_0x556a36304d90, L_0x556a36304ec0, C4<1>, C4<1>; -L_0x556a36304aa0 .functor XOR 1, L_0x556a36304d90, L_0x556a36304ec0, C4<0>, C4<0>; -v0x556a362d8880_0 .net "A", 0 0, L_0x556a36304d90; alias, 1 drivers -v0x556a362d8940_0 .net "B", 0 0, L_0x556a36304ec0; alias, 1 drivers -v0x556a362d8a00_0 .net "Carry", 0 0, L_0x556a36304a30; alias, 1 drivers -v0x556a362d8ad0_0 .net "Sum", 0 0, L_0x556a36304aa0; alias, 1 drivers -S_0x556a362d8c40 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362d8370; +L_0x559bc9ea0970 .functor AND 1, L_0x559bc9ea0cd0, L_0x559bc9ea0e00, C4<1>, C4<1>; +L_0x559bc9ea09e0 .functor XOR 1, L_0x559bc9ea0cd0, L_0x559bc9ea0e00, C4<0>, C4<0>; +v0x559bc9e74620_0 .net "A", 0 0, L_0x559bc9ea0cd0; alias, 1 drivers +v0x559bc9e746e0_0 .net "B", 0 0, L_0x559bc9ea0e00; alias, 1 drivers +v0x559bc9e747a0_0 .net "Carry", 0 0, L_0x559bc9ea0970; alias, 1 drivers +v0x559bc9e74870_0 .net "Sum", 0 0, L_0x559bc9ea09e0; alias, 1 drivers +S_0x559bc9e749e0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e74110; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36304b10 .functor AND 1, L_0x556a36304aa0, L_0x556a36304ff0, C4<1>, C4<1>; -L_0x556a36304c60 .functor XOR 1, L_0x556a36304aa0, L_0x556a36304ff0, C4<0>, C4<0>; -v0x556a362d8eb0_0 .net "A", 0 0, L_0x556a36304aa0; alias, 1 drivers -v0x556a362d8f80_0 .net "B", 0 0, L_0x556a36304ff0; alias, 1 drivers -v0x556a362d9020_0 .net "Carry", 0 0, L_0x556a36304b10; alias, 1 drivers -v0x556a362d90f0_0 .net "Sum", 0 0, L_0x556a36304c60; alias, 1 drivers -S_0x556a362d9910 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x556a362d5590; +L_0x559bc9ea0a50 .functor AND 1, L_0x559bc9ea09e0, L_0x559bc9ea0f30, C4<1>, C4<1>; +L_0x559bc9ea0ba0 .functor XOR 1, L_0x559bc9ea09e0, L_0x559bc9ea0f30, C4<0>, C4<0>; +v0x559bc9e74c50_0 .net "A", 0 0, L_0x559bc9ea09e0; alias, 1 drivers +v0x559bc9e74d20_0 .net "B", 0 0, L_0x559bc9ea0f30; alias, 1 drivers +v0x559bc9e74dc0_0 .net "Carry", 0 0, L_0x559bc9ea0a50; alias, 1 drivers +v0x559bc9e74e90_0 .net "Sum", 0 0, L_0x559bc9ea0ba0; alias, 1 drivers +S_0x559bc9e756b0 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x559bc9e71330; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36305640 .functor OR 1, L_0x556a36305260, L_0x556a36305430, C4<0>, C4<0>; -v0x556a362da7f0_0 .net "A", 0 0, L_0x556a36305700; 1 drivers -v0x556a362da8b0_0 .net "B", 0 0, L_0x556a36305830; 1 drivers -v0x556a362da980_0 .net "Carry", 0 0, L_0x556a363059f0; 1 drivers -v0x556a362daa80_0 .net "CarryO", 0 0, L_0x556a36305640; alias, 1 drivers -v0x556a362dab20_0 .net "Sum", 0 0, L_0x556a36305580; 1 drivers -v0x556a362dac10_0 .net "and1", 0 0, L_0x556a36305260; 1 drivers -v0x556a362dace0_0 .net "and2", 0 0, L_0x556a36305430; 1 drivers -v0x556a362dadb0_0 .net "xor1", 0 0, L_0x556a363053c0; 1 drivers -S_0x556a362d9b70 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362d9910; +L_0x559bc9ea1580 .functor OR 1, L_0x559bc9ea11a0, L_0x559bc9ea1370, C4<0>, C4<0>; +v0x559bc9e76590_0 .net "A", 0 0, L_0x559bc9ea1640; 1 drivers +v0x559bc9e76650_0 .net "B", 0 0, L_0x559bc9ea1770; 1 drivers +v0x559bc9e76720_0 .net "Carry", 0 0, L_0x559bc9ea1930; 1 drivers +v0x559bc9e76820_0 .net "CarryO", 0 0, L_0x559bc9ea1580; alias, 1 drivers +v0x559bc9e768c0_0 .net "Sum", 0 0, L_0x559bc9ea14c0; 1 drivers +v0x559bc9e769b0_0 .net "and1", 0 0, L_0x559bc9ea11a0; 1 drivers +v0x559bc9e76a80_0 .net "and2", 0 0, L_0x559bc9ea1370; 1 drivers +v0x559bc9e76b50_0 .net "xor1", 0 0, L_0x559bc9ea1300; 1 drivers +S_0x559bc9e75910 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e756b0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36305260 .functor AND 1, L_0x556a36305700, L_0x556a36305830, C4<1>, C4<1>; -L_0x556a363053c0 .functor XOR 1, L_0x556a36305700, L_0x556a36305830, C4<0>, C4<0>; -v0x556a362d9df0_0 .net "A", 0 0, L_0x556a36305700; alias, 1 drivers -v0x556a362d9ed0_0 .net "B", 0 0, L_0x556a36305830; alias, 1 drivers -v0x556a362d9f90_0 .net "Carry", 0 0, L_0x556a36305260; alias, 1 drivers -v0x556a362da060_0 .net "Sum", 0 0, L_0x556a363053c0; alias, 1 drivers -S_0x556a362da1d0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362d9910; +L_0x559bc9ea11a0 .functor AND 1, L_0x559bc9ea1640, L_0x559bc9ea1770, C4<1>, C4<1>; +L_0x559bc9ea1300 .functor XOR 1, L_0x559bc9ea1640, L_0x559bc9ea1770, C4<0>, C4<0>; +v0x559bc9e75b90_0 .net "A", 0 0, L_0x559bc9ea1640; alias, 1 drivers +v0x559bc9e75c70_0 .net "B", 0 0, L_0x559bc9ea1770; alias, 1 drivers +v0x559bc9e75d30_0 .net "Carry", 0 0, L_0x559bc9ea11a0; alias, 1 drivers +v0x559bc9e75e00_0 .net "Sum", 0 0, L_0x559bc9ea1300; alias, 1 drivers +S_0x559bc9e75f70 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e756b0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36305430 .functor AND 1, L_0x556a363053c0, L_0x556a363059f0, C4<1>, C4<1>; -L_0x556a36305580 .functor XOR 1, L_0x556a363053c0, L_0x556a363059f0, C4<0>, C4<0>; -v0x556a362da440_0 .net "A", 0 0, L_0x556a363053c0; alias, 1 drivers -v0x556a362da510_0 .net "B", 0 0, L_0x556a363059f0; alias, 1 drivers -v0x556a362da5b0_0 .net "Carry", 0 0, L_0x556a36305430; alias, 1 drivers -v0x556a362da680_0 .net "Sum", 0 0, L_0x556a36305580; alias, 1 drivers -S_0x556a362db620 .scope module, "add2" "addition" 12 58, 5 1 0, S_0x556a362cf300; +L_0x559bc9ea1370 .functor AND 1, L_0x559bc9ea1300, L_0x559bc9ea1930, C4<1>, C4<1>; +L_0x559bc9ea14c0 .functor XOR 1, L_0x559bc9ea1300, L_0x559bc9ea1930, C4<0>, C4<0>; +v0x559bc9e761e0_0 .net "A", 0 0, L_0x559bc9ea1300; alias, 1 drivers +v0x559bc9e762b0_0 .net "B", 0 0, L_0x559bc9ea1930; alias, 1 drivers +v0x559bc9e76350_0 .net "Carry", 0 0, L_0x559bc9ea1370; alias, 1 drivers +v0x559bc9e76420_0 .net "Sum", 0 0, L_0x559bc9ea14c0; alias, 1 drivers +S_0x559bc9e77400 .scope module, "add2" "addition" 14 58, 5 1 0, S_0x559bc9e6b080; .timescale 0 0; .port_info 0 /INPUT 4 "A"; .port_info 1 /INPUT 4 "B"; @@ -1501,395 +1818,395 @@ S_0x556a362db620 .scope module, "add2" "addition" 12 58, 5 1 0, S_0x556a362cf300 .port_info 3 /OUTPUT 4 "Y"; .port_info 4 /OUTPUT 1 "CarryOUT"; .port_info 5 /OUTPUT 1 "overflow"; -L_0x556a36309080 .functor XOR 1, L_0x556a363090f0, L_0x556a36308a00, C4<0>, C4<0>; -v0x556a362e0f40_0 .net "A", 3 0, L_0x556a36306580; alias, 1 drivers -v0x556a362e1020_0 .net "B", 3 0, L_0x556a36309210; 1 drivers -v0x556a362e1100_0 .net "Carry4", 2 0, L_0x556a36308530; 1 drivers -L_0x7fa150a3f0f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; -v0x556a362e11c0_0 .net "CarryIN", 0 0, L_0x7fa150a3f0f0; 1 drivers -v0x556a362e12b0_0 .net "CarryOUT", 0 0, L_0x556a36308a00; 1 drivers -v0x556a362e13a0_0 .net "Y", 3 0, L_0x556a36308fe0; 1 drivers -v0x556a362e1460_0 .net *"_ivl_39", 0 0, L_0x556a363090f0; 1 drivers -v0x556a362e1540_0 .net "overflow", 0 0, L_0x556a36309080; alias, 1 drivers -L_0x556a36307410 .part L_0x556a36306580, 0, 1; -L_0x556a36307540 .part L_0x556a36309210, 0, 1; -L_0x556a363079d0 .part L_0x556a36306580, 1, 1; -L_0x556a36307b90 .part L_0x556a36309210, 1, 1; -L_0x556a36307cc0 .part L_0x556a36308530, 0, 1; -L_0x556a36308150 .part L_0x556a36306580, 2, 1; -L_0x556a36308280 .part L_0x556a36309210, 2, 1; -L_0x556a363083b0 .part L_0x556a36308530, 1, 1; -L_0x556a36308530 .concat8 [ 1 1 1 0], L_0x556a363073a0, L_0x556a36307960, L_0x556a363080e0; -L_0x556a36308ac0 .part L_0x556a36306580, 3, 1; -L_0x556a36308bf0 .part L_0x556a36309210, 3, 1; -L_0x556a36308db0 .part L_0x556a36308530, 2, 1; -L_0x556a36308fe0 .concat8 [ 1 1 1 1], L_0x556a363072a0, L_0x556a363078a0, L_0x556a36308020, L_0x556a36308940; -L_0x556a363090f0 .part L_0x556a36308530, 2, 1; -S_0x556a362db8a0 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x556a362db620; +L_0x559bc9ea4fc0 .functor XOR 1, L_0x559bc9ea5030, L_0x559bc9ea4940, C4<0>, C4<0>; +v0x559bc9e7cd20_0 .net "A", 3 0, L_0x559bc9ea24c0; alias, 1 drivers +v0x559bc9e7ce00_0 .net "B", 3 0, L_0x559bc9ea5150; 1 drivers +v0x559bc9e7cee0_0 .net "Carry4", 2 0, L_0x559bc9ea4470; 1 drivers +L_0x7f29537c60f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +v0x559bc9e7cfa0_0 .net "CarryIN", 0 0, L_0x7f29537c60f0; 1 drivers +v0x559bc9e7d090_0 .net "CarryOUT", 0 0, L_0x559bc9ea4940; 1 drivers +v0x559bc9e7d180_0 .net "Y", 3 0, L_0x559bc9ea4f20; 1 drivers +v0x559bc9e7d240_0 .net *"_ivl_39", 0 0, L_0x559bc9ea5030; 1 drivers +v0x559bc9e7d320_0 .net "overflow", 0 0, L_0x559bc9ea4fc0; alias, 1 drivers +L_0x559bc9ea3350 .part L_0x559bc9ea24c0, 0, 1; +L_0x559bc9ea3480 .part L_0x559bc9ea5150, 0, 1; +L_0x559bc9ea3910 .part L_0x559bc9ea24c0, 1, 1; +L_0x559bc9ea3ad0 .part L_0x559bc9ea5150, 1, 1; +L_0x559bc9ea3c00 .part L_0x559bc9ea4470, 0, 1; +L_0x559bc9ea4090 .part L_0x559bc9ea24c0, 2, 1; +L_0x559bc9ea41c0 .part L_0x559bc9ea5150, 2, 1; +L_0x559bc9ea42f0 .part L_0x559bc9ea4470, 1, 1; +L_0x559bc9ea4470 .concat8 [ 1 1 1 0], L_0x559bc9ea32e0, L_0x559bc9ea38a0, L_0x559bc9ea4020; +L_0x559bc9ea4a00 .part L_0x559bc9ea24c0, 3, 1; +L_0x559bc9ea4b30 .part L_0x559bc9ea5150, 3, 1; +L_0x559bc9ea4cf0 .part L_0x559bc9ea4470, 2, 1; +L_0x559bc9ea4f20 .concat8 [ 1 1 1 1], L_0x559bc9ea31e0, L_0x559bc9ea37e0, L_0x559bc9ea3f60, L_0x559bc9ea4880; +L_0x559bc9ea5030 .part L_0x559bc9ea4470, 2, 1; +S_0x559bc9e77680 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x559bc9e77400; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a363073a0 .functor OR 1, L_0x556a36306f80, L_0x556a36307150, C4<0>, C4<0>; -v0x556a362dc7d0_0 .net "A", 0 0, L_0x556a36307410; 1 drivers -v0x556a362dc890_0 .net "B", 0 0, L_0x556a36307540; 1 drivers -v0x556a362dc960_0 .net "Carry", 0 0, L_0x7fa150a3f0f0; alias, 1 drivers -v0x556a362dca60_0 .net "CarryO", 0 0, L_0x556a363073a0; 1 drivers -v0x556a362dcb00_0 .net "Sum", 0 0, L_0x556a363072a0; 1 drivers -v0x556a362dcbf0_0 .net "and1", 0 0, L_0x556a36306f80; 1 drivers -v0x556a362dccc0_0 .net "and2", 0 0, L_0x556a36307150; 1 drivers -v0x556a362dcd90_0 .net "xor1", 0 0, L_0x556a363070e0; 1 drivers -S_0x556a362dbb30 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362db8a0; +L_0x559bc9ea32e0 .functor OR 1, L_0x559bc9ea2ec0, L_0x559bc9ea3090, C4<0>, C4<0>; +v0x559bc9e785b0_0 .net "A", 0 0, L_0x559bc9ea3350; 1 drivers +v0x559bc9e78670_0 .net "B", 0 0, L_0x559bc9ea3480; 1 drivers +v0x559bc9e78740_0 .net "Carry", 0 0, L_0x7f29537c60f0; alias, 1 drivers +v0x559bc9e78840_0 .net "CarryO", 0 0, L_0x559bc9ea32e0; 1 drivers +v0x559bc9e788e0_0 .net "Sum", 0 0, L_0x559bc9ea31e0; 1 drivers +v0x559bc9e789d0_0 .net "and1", 0 0, L_0x559bc9ea2ec0; 1 drivers +v0x559bc9e78aa0_0 .net "and2", 0 0, L_0x559bc9ea3090; 1 drivers +v0x559bc9e78b70_0 .net "xor1", 0 0, L_0x559bc9ea3020; 1 drivers +S_0x559bc9e77910 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e77680; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36306f80 .functor AND 1, L_0x556a36307410, L_0x556a36307540, C4<1>, C4<1>; -L_0x556a363070e0 .functor XOR 1, L_0x556a36307410, L_0x556a36307540, C4<0>, C4<0>; -v0x556a362dbdd0_0 .net "A", 0 0, L_0x556a36307410; alias, 1 drivers -v0x556a362dbeb0_0 .net "B", 0 0, L_0x556a36307540; alias, 1 drivers -v0x556a362dbf70_0 .net "Carry", 0 0, L_0x556a36306f80; alias, 1 drivers -v0x556a362dc040_0 .net "Sum", 0 0, L_0x556a363070e0; alias, 1 drivers -S_0x556a362dc1b0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362db8a0; +L_0x559bc9ea2ec0 .functor AND 1, L_0x559bc9ea3350, L_0x559bc9ea3480, C4<1>, C4<1>; +L_0x559bc9ea3020 .functor XOR 1, L_0x559bc9ea3350, L_0x559bc9ea3480, C4<0>, C4<0>; +v0x559bc9e77bb0_0 .net "A", 0 0, L_0x559bc9ea3350; alias, 1 drivers +v0x559bc9e77c90_0 .net "B", 0 0, L_0x559bc9ea3480; alias, 1 drivers +v0x559bc9e77d50_0 .net "Carry", 0 0, L_0x559bc9ea2ec0; alias, 1 drivers +v0x559bc9e77e20_0 .net "Sum", 0 0, L_0x559bc9ea3020; alias, 1 drivers +S_0x559bc9e77f90 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e77680; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36307150 .functor AND 1, L_0x556a363070e0, L_0x7fa150a3f0f0, C4<1>, C4<1>; -L_0x556a363072a0 .functor XOR 1, L_0x556a363070e0, L_0x7fa150a3f0f0, C4<0>, C4<0>; -v0x556a362dc420_0 .net "A", 0 0, L_0x556a363070e0; alias, 1 drivers -v0x556a362dc4f0_0 .net "B", 0 0, L_0x7fa150a3f0f0; alias, 1 drivers -v0x556a362dc590_0 .net "Carry", 0 0, L_0x556a36307150; alias, 1 drivers -v0x556a362dc660_0 .net "Sum", 0 0, L_0x556a363072a0; alias, 1 drivers -S_0x556a362dce80 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x556a362db620; +L_0x559bc9ea3090 .functor AND 1, L_0x559bc9ea3020, L_0x7f29537c60f0, C4<1>, C4<1>; +L_0x559bc9ea31e0 .functor XOR 1, L_0x559bc9ea3020, L_0x7f29537c60f0, C4<0>, C4<0>; +v0x559bc9e78200_0 .net "A", 0 0, L_0x559bc9ea3020; alias, 1 drivers +v0x559bc9e782d0_0 .net "B", 0 0, L_0x7f29537c60f0; alias, 1 drivers +v0x559bc9e78370_0 .net "Carry", 0 0, L_0x559bc9ea3090; alias, 1 drivers +v0x559bc9e78440_0 .net "Sum", 0 0, L_0x559bc9ea31e0; alias, 1 drivers +S_0x559bc9e78c60 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x559bc9e77400; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36307960 .functor OR 1, L_0x556a36307670, L_0x556a36307750, C4<0>, C4<0>; -v0x556a362ddd60_0 .net "A", 0 0, L_0x556a363079d0; 1 drivers -v0x556a362dde20_0 .net "B", 0 0, L_0x556a36307b90; 1 drivers -v0x556a362ddef0_0 .net "Carry", 0 0, L_0x556a36307cc0; 1 drivers -v0x556a362ddff0_0 .net "CarryO", 0 0, L_0x556a36307960; 1 drivers -v0x556a362de090_0 .net "Sum", 0 0, L_0x556a363078a0; 1 drivers -v0x556a362de180_0 .net "and1", 0 0, L_0x556a36307670; 1 drivers -v0x556a362de250_0 .net "and2", 0 0, L_0x556a36307750; 1 drivers -v0x556a362de320_0 .net "xor1", 0 0, L_0x556a363076e0; 1 drivers -S_0x556a362dd0e0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362dce80; +L_0x559bc9ea38a0 .functor OR 1, L_0x559bc9ea35b0, L_0x559bc9ea3690, C4<0>, C4<0>; +v0x559bc9e79b40_0 .net "A", 0 0, L_0x559bc9ea3910; 1 drivers +v0x559bc9e79c00_0 .net "B", 0 0, L_0x559bc9ea3ad0; 1 drivers +v0x559bc9e79cd0_0 .net "Carry", 0 0, L_0x559bc9ea3c00; 1 drivers +v0x559bc9e79dd0_0 .net "CarryO", 0 0, L_0x559bc9ea38a0; 1 drivers +v0x559bc9e79e70_0 .net "Sum", 0 0, L_0x559bc9ea37e0; 1 drivers +v0x559bc9e79f60_0 .net "and1", 0 0, L_0x559bc9ea35b0; 1 drivers +v0x559bc9e7a030_0 .net "and2", 0 0, L_0x559bc9ea3690; 1 drivers +v0x559bc9e7a100_0 .net "xor1", 0 0, L_0x559bc9ea3620; 1 drivers +S_0x559bc9e78ec0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e78c60; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36307670 .functor AND 1, L_0x556a363079d0, L_0x556a36307b90, C4<1>, C4<1>; -L_0x556a363076e0 .functor XOR 1, L_0x556a363079d0, L_0x556a36307b90, C4<0>, C4<0>; -v0x556a362dd360_0 .net "A", 0 0, L_0x556a363079d0; alias, 1 drivers -v0x556a362dd440_0 .net "B", 0 0, L_0x556a36307b90; alias, 1 drivers -v0x556a362dd500_0 .net "Carry", 0 0, L_0x556a36307670; alias, 1 drivers -v0x556a362dd5d0_0 .net "Sum", 0 0, L_0x556a363076e0; alias, 1 drivers -S_0x556a362dd740 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362dce80; +L_0x559bc9ea35b0 .functor AND 1, L_0x559bc9ea3910, L_0x559bc9ea3ad0, C4<1>, C4<1>; +L_0x559bc9ea3620 .functor XOR 1, L_0x559bc9ea3910, L_0x559bc9ea3ad0, C4<0>, C4<0>; +v0x559bc9e79140_0 .net "A", 0 0, L_0x559bc9ea3910; alias, 1 drivers +v0x559bc9e79220_0 .net "B", 0 0, L_0x559bc9ea3ad0; alias, 1 drivers +v0x559bc9e792e0_0 .net "Carry", 0 0, L_0x559bc9ea35b0; alias, 1 drivers +v0x559bc9e793b0_0 .net "Sum", 0 0, L_0x559bc9ea3620; alias, 1 drivers +S_0x559bc9e79520 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e78c60; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36307750 .functor AND 1, L_0x556a363076e0, L_0x556a36307cc0, C4<1>, C4<1>; -L_0x556a363078a0 .functor XOR 1, L_0x556a363076e0, L_0x556a36307cc0, C4<0>, C4<0>; -v0x556a362dd9b0_0 .net "A", 0 0, L_0x556a363076e0; alias, 1 drivers -v0x556a362dda80_0 .net "B", 0 0, L_0x556a36307cc0; alias, 1 drivers -v0x556a362ddb20_0 .net "Carry", 0 0, L_0x556a36307750; alias, 1 drivers -v0x556a362ddbf0_0 .net "Sum", 0 0, L_0x556a363078a0; alias, 1 drivers -S_0x556a362de410 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x556a362db620; +L_0x559bc9ea3690 .functor AND 1, L_0x559bc9ea3620, L_0x559bc9ea3c00, C4<1>, C4<1>; +L_0x559bc9ea37e0 .functor XOR 1, L_0x559bc9ea3620, L_0x559bc9ea3c00, C4<0>, C4<0>; +v0x559bc9e79790_0 .net "A", 0 0, L_0x559bc9ea3620; alias, 1 drivers +v0x559bc9e79860_0 .net "B", 0 0, L_0x559bc9ea3c00; alias, 1 drivers +v0x559bc9e79900_0 .net "Carry", 0 0, L_0x559bc9ea3690; alias, 1 drivers +v0x559bc9e799d0_0 .net "Sum", 0 0, L_0x559bc9ea37e0; alias, 1 drivers +S_0x559bc9e7a1f0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x559bc9e77400; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a363080e0 .functor OR 1, L_0x556a36307df0, L_0x556a36307ed0, C4<0>, C4<0>; -v0x556a362df300_0 .net "A", 0 0, L_0x556a36308150; 1 drivers -v0x556a362df3c0_0 .net "B", 0 0, L_0x556a36308280; 1 drivers -v0x556a362df490_0 .net "Carry", 0 0, L_0x556a363083b0; 1 drivers -v0x556a362df590_0 .net "CarryO", 0 0, L_0x556a363080e0; 1 drivers -v0x556a362df630_0 .net "Sum", 0 0, L_0x556a36308020; 1 drivers -v0x556a362df720_0 .net "and1", 0 0, L_0x556a36307df0; 1 drivers -v0x556a362df7f0_0 .net "and2", 0 0, L_0x556a36307ed0; 1 drivers -v0x556a362df8c0_0 .net "xor1", 0 0, L_0x556a36307e60; 1 drivers -S_0x556a362de6a0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362de410; +L_0x559bc9ea4020 .functor OR 1, L_0x559bc9ea3d30, L_0x559bc9ea3e10, C4<0>, C4<0>; +v0x559bc9e7b0e0_0 .net "A", 0 0, L_0x559bc9ea4090; 1 drivers +v0x559bc9e7b1a0_0 .net "B", 0 0, L_0x559bc9ea41c0; 1 drivers +v0x559bc9e7b270_0 .net "Carry", 0 0, L_0x559bc9ea42f0; 1 drivers +v0x559bc9e7b370_0 .net "CarryO", 0 0, L_0x559bc9ea4020; 1 drivers +v0x559bc9e7b410_0 .net "Sum", 0 0, L_0x559bc9ea3f60; 1 drivers +v0x559bc9e7b500_0 .net "and1", 0 0, L_0x559bc9ea3d30; 1 drivers +v0x559bc9e7b5d0_0 .net "and2", 0 0, L_0x559bc9ea3e10; 1 drivers +v0x559bc9e7b6a0_0 .net "xor1", 0 0, L_0x559bc9ea3da0; 1 drivers +S_0x559bc9e7a480 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e7a1f0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36307df0 .functor AND 1, L_0x556a36308150, L_0x556a36308280, C4<1>, C4<1>; -L_0x556a36307e60 .functor XOR 1, L_0x556a36308150, L_0x556a36308280, C4<0>, C4<0>; -v0x556a362de920_0 .net "A", 0 0, L_0x556a36308150; alias, 1 drivers -v0x556a362de9e0_0 .net "B", 0 0, L_0x556a36308280; alias, 1 drivers -v0x556a362deaa0_0 .net "Carry", 0 0, L_0x556a36307df0; alias, 1 drivers -v0x556a362deb70_0 .net "Sum", 0 0, L_0x556a36307e60; alias, 1 drivers -S_0x556a362dece0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362de410; +L_0x559bc9ea3d30 .functor AND 1, L_0x559bc9ea4090, L_0x559bc9ea41c0, C4<1>, C4<1>; +L_0x559bc9ea3da0 .functor XOR 1, L_0x559bc9ea4090, L_0x559bc9ea41c0, C4<0>, C4<0>; +v0x559bc9e7a700_0 .net "A", 0 0, L_0x559bc9ea4090; alias, 1 drivers +v0x559bc9e7a7c0_0 .net "B", 0 0, L_0x559bc9ea41c0; alias, 1 drivers +v0x559bc9e7a880_0 .net "Carry", 0 0, L_0x559bc9ea3d30; alias, 1 drivers +v0x559bc9e7a950_0 .net "Sum", 0 0, L_0x559bc9ea3da0; alias, 1 drivers +S_0x559bc9e7aac0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e7a1f0; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36307ed0 .functor AND 1, L_0x556a36307e60, L_0x556a363083b0, C4<1>, C4<1>; -L_0x556a36308020 .functor XOR 1, L_0x556a36307e60, L_0x556a363083b0, C4<0>, C4<0>; -v0x556a362def50_0 .net "A", 0 0, L_0x556a36307e60; alias, 1 drivers -v0x556a362df020_0 .net "B", 0 0, L_0x556a363083b0; alias, 1 drivers -v0x556a362df0c0_0 .net "Carry", 0 0, L_0x556a36307ed0; alias, 1 drivers -v0x556a362df190_0 .net "Sum", 0 0, L_0x556a36308020; alias, 1 drivers -S_0x556a362df9b0 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x556a362db620; +L_0x559bc9ea3e10 .functor AND 1, L_0x559bc9ea3da0, L_0x559bc9ea42f0, C4<1>, C4<1>; +L_0x559bc9ea3f60 .functor XOR 1, L_0x559bc9ea3da0, L_0x559bc9ea42f0, C4<0>, C4<0>; +v0x559bc9e7ad30_0 .net "A", 0 0, L_0x559bc9ea3da0; alias, 1 drivers +v0x559bc9e7ae00_0 .net "B", 0 0, L_0x559bc9ea42f0; alias, 1 drivers +v0x559bc9e7aea0_0 .net "Carry", 0 0, L_0x559bc9ea3e10; alias, 1 drivers +v0x559bc9e7af70_0 .net "Sum", 0 0, L_0x559bc9ea3f60; alias, 1 drivers +S_0x559bc9e7b790 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x559bc9e77400; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /INPUT 1 "Carry"; .port_info 3 /OUTPUT 1 "Sum"; .port_info 4 /OUTPUT 1 "CarryO"; -L_0x556a36308a00 .functor OR 1, L_0x556a36308620, L_0x556a363087f0, C4<0>, C4<0>; -v0x556a362e0890_0 .net "A", 0 0, L_0x556a36308ac0; 1 drivers -v0x556a362e0950_0 .net "B", 0 0, L_0x556a36308bf0; 1 drivers -v0x556a362e0a20_0 .net "Carry", 0 0, L_0x556a36308db0; 1 drivers -v0x556a362e0b20_0 .net "CarryO", 0 0, L_0x556a36308a00; alias, 1 drivers -v0x556a362e0bc0_0 .net "Sum", 0 0, L_0x556a36308940; 1 drivers -v0x556a362e0cb0_0 .net "and1", 0 0, L_0x556a36308620; 1 drivers -v0x556a362e0d80_0 .net "and2", 0 0, L_0x556a363087f0; 1 drivers -v0x556a362e0e50_0 .net "xor1", 0 0, L_0x556a36308780; 1 drivers -S_0x556a362dfc10 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x556a362df9b0; +L_0x559bc9ea4940 .functor OR 1, L_0x559bc9ea4560, L_0x559bc9ea4730, C4<0>, C4<0>; +v0x559bc9e7c670_0 .net "A", 0 0, L_0x559bc9ea4a00; 1 drivers +v0x559bc9e7c730_0 .net "B", 0 0, L_0x559bc9ea4b30; 1 drivers +v0x559bc9e7c800_0 .net "Carry", 0 0, L_0x559bc9ea4cf0; 1 drivers +v0x559bc9e7c900_0 .net "CarryO", 0 0, L_0x559bc9ea4940; alias, 1 drivers +v0x559bc9e7c9a0_0 .net "Sum", 0 0, L_0x559bc9ea4880; 1 drivers +v0x559bc9e7ca90_0 .net "and1", 0 0, L_0x559bc9ea4560; 1 drivers +v0x559bc9e7cb60_0 .net "and2", 0 0, L_0x559bc9ea4730; 1 drivers +v0x559bc9e7cc30_0 .net "xor1", 0 0, L_0x559bc9ea46c0; 1 drivers +S_0x559bc9e7b9f0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x559bc9e7b790; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a36308620 .functor AND 1, L_0x556a36308ac0, L_0x556a36308bf0, C4<1>, C4<1>; -L_0x556a36308780 .functor XOR 1, L_0x556a36308ac0, L_0x556a36308bf0, C4<0>, C4<0>; -v0x556a362dfe90_0 .net "A", 0 0, L_0x556a36308ac0; alias, 1 drivers -v0x556a362dff70_0 .net "B", 0 0, L_0x556a36308bf0; alias, 1 drivers -v0x556a362e0030_0 .net "Carry", 0 0, L_0x556a36308620; alias, 1 drivers -v0x556a362e0100_0 .net "Sum", 0 0, L_0x556a36308780; alias, 1 drivers -S_0x556a362e0270 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x556a362df9b0; +L_0x559bc9ea4560 .functor AND 1, L_0x559bc9ea4a00, L_0x559bc9ea4b30, C4<1>, C4<1>; +L_0x559bc9ea46c0 .functor XOR 1, L_0x559bc9ea4a00, L_0x559bc9ea4b30, C4<0>, C4<0>; +v0x559bc9e7bc70_0 .net "A", 0 0, L_0x559bc9ea4a00; alias, 1 drivers +v0x559bc9e7bd50_0 .net "B", 0 0, L_0x559bc9ea4b30; alias, 1 drivers +v0x559bc9e7be10_0 .net "Carry", 0 0, L_0x559bc9ea4560; alias, 1 drivers +v0x559bc9e7bee0_0 .net "Sum", 0 0, L_0x559bc9ea46c0; alias, 1 drivers +S_0x559bc9e7c050 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x559bc9e7b790; .timescale 0 0; .port_info 0 /INPUT 1 "A"; .port_info 1 /INPUT 1 "B"; .port_info 2 /OUTPUT 1 "Sum"; .port_info 3 /OUTPUT 1 "Carry"; -L_0x556a363087f0 .functor AND 1, L_0x556a36308780, L_0x556a36308db0, C4<1>, C4<1>; -L_0x556a36308940 .functor XOR 1, L_0x556a36308780, L_0x556a36308db0, C4<0>, C4<0>; -v0x556a362e04e0_0 .net "A", 0 0, L_0x556a36308780; alias, 1 drivers -v0x556a362e05b0_0 .net "B", 0 0, L_0x556a36308db0; alias, 1 drivers -v0x556a362e0650_0 .net "Carry", 0 0, L_0x556a363087f0; alias, 1 drivers -v0x556a362e0720_0 .net "Sum", 0 0, L_0x556a36308940; alias, 1 drivers -S_0x556a362e64f0 .scope module, "opCd" "opCode" 3 18, 13 1 0, S_0x556a36224760; +L_0x559bc9ea4730 .functor AND 1, L_0x559bc9ea46c0, L_0x559bc9ea4cf0, C4<1>, C4<1>; +L_0x559bc9ea4880 .functor XOR 1, L_0x559bc9ea46c0, L_0x559bc9ea4cf0, C4<0>, C4<0>; +v0x559bc9e7c2c0_0 .net "A", 0 0, L_0x559bc9ea46c0; alias, 1 drivers +v0x559bc9e7c390_0 .net "B", 0 0, L_0x559bc9ea4cf0; alias, 1 drivers +v0x559bc9e7c430_0 .net "Carry", 0 0, L_0x559bc9ea4730; alias, 1 drivers +v0x559bc9e7c500_0 .net "Sum", 0 0, L_0x559bc9ea4880; alias, 1 drivers +S_0x559bc9e822d0 .scope module, "opCd" "opCode" 3 18, 15 1 0, S_0x559bc9e41bb0; .timescale 0 0; .port_info 0 /INPUT 3 "A"; .port_info 1 /OUTPUT 8 "opCode"; -L_0x556a362f0b80 .functor NOT 1, L_0x556a362f0c10, C4<0>, C4<0>, C4<0>; -L_0x556a362f0d00 .functor NOT 1, L_0x556a362f0d90, C4<0>, C4<0>, C4<0>; -L_0x556a362f0e80 .functor NOT 1, L_0x556a362f0f20, C4<0>, C4<0>, C4<0>; -L_0x556a362f0fc0 .functor AND 1, L_0x556a362f10c0, L_0x556a362f11e0, C4<1>, C4<1>; -L_0x556a362f12d0 .functor AND 1, L_0x556a362f0b80, L_0x556a362f1390, C4<1>, C4<1>; -L_0x556a362f15d0 .functor AND 1, L_0x556a362f16d0, L_0x556a362f0d00, C4<1>, C4<1>; -L_0x556a362f17c0 .functor AND 1, L_0x556a362f0b80, L_0x556a362f0d00, C4<1>, C4<1>; -L_0x556a362f1830 .functor AND 1, L_0x556a362f17c0, L_0x556a362f0e80, C4<1>, C4<1>; -L_0x556a362f1990 .functor AND 1, L_0x556a362f17c0, L_0x556a362f1a00, C4<1>, C4<1>; -L_0x556a362f1b40 .functor AND 1, L_0x556a362f12d0, L_0x556a362f0e80, C4<1>, C4<1>; -L_0x556a362f1c60 .functor AND 1, L_0x556a362f12d0, L_0x556a362f1cd0, C4<1>, C4<1>; -L_0x556a362f1d70 .functor AND 1, L_0x556a362f15d0, L_0x556a362f0e80, C4<1>, C4<1>; -L_0x556a362f1ea0 .functor AND 1, L_0x556a362f15d0, L_0x556a362f1f10, C4<1>, C4<1>; -L_0x556a362f2010 .functor AND 1, L_0x556a362f0fc0, L_0x556a362f0e80, C4<1>, C4<1>; -L_0x556a362f1e30 .functor AND 1, L_0x556a362f0fc0, L_0x556a362f24c0, C4<1>, C4<1>; -v0x556a362e6680_0 .net "A", 2 0, v0x556a362f09a0_0; alias, 1 drivers -v0x556a362e6780_0 .net *"_ivl_1", 0 0, L_0x556a362f0c10; 1 drivers -v0x556a362e6860_0 .net *"_ivl_11", 0 0, L_0x556a362f1390; 1 drivers -v0x556a362e6950_0 .net *"_ivl_13", 0 0, L_0x556a362f16d0; 1 drivers -v0x556a362e6a30_0 .net *"_ivl_14", 0 0, L_0x556a362f1830; 1 drivers -v0x556a362e6b10_0 .net *"_ivl_16", 0 0, L_0x556a362f1990; 1 drivers -v0x556a362e6bf0_0 .net *"_ivl_19", 0 0, L_0x556a362f1a00; 1 drivers -v0x556a362e6cd0_0 .net *"_ivl_20", 0 0, L_0x556a362f1b40; 1 drivers -v0x556a362e6db0_0 .net *"_ivl_22", 0 0, L_0x556a362f1c60; 1 drivers -v0x556a362e6e90_0 .net *"_ivl_25", 0 0, L_0x556a362f1cd0; 1 drivers -v0x556a362e6f70_0 .net *"_ivl_26", 0 0, L_0x556a362f1d70; 1 drivers -v0x556a362e7050_0 .net *"_ivl_28", 0 0, L_0x556a362f1ea0; 1 drivers -v0x556a362e7130_0 .net *"_ivl_3", 0 0, L_0x556a362f0d90; 1 drivers -v0x556a362e7210_0 .net *"_ivl_31", 0 0, L_0x556a362f1f10; 1 drivers -v0x556a362e72f0_0 .net *"_ivl_32", 0 0, L_0x556a362f2010; 1 drivers -v0x556a362e73d0_0 .net *"_ivl_34", 0 0, L_0x556a362f1e30; 1 drivers -v0x556a362e74b0_0 .net *"_ivl_38", 0 0, L_0x556a362f24c0; 1 drivers -v0x556a362e7590_0 .net *"_ivl_5", 0 0, L_0x556a362f0f20; 1 drivers -v0x556a362e7670_0 .net *"_ivl_7", 0 0, L_0x556a362f10c0; 1 drivers -v0x556a362e7750_0 .net *"_ivl_9", 0 0, L_0x556a362f11e0; 1 drivers -v0x556a362e7830_0 .net "and1", 0 0, L_0x556a362f0fc0; 1 drivers -v0x556a362e78f0_0 .net "and2", 0 0, L_0x556a362f12d0; 1 drivers -v0x556a362e79b0_0 .net "and3", 0 0, L_0x556a362f15d0; 1 drivers -v0x556a362e7a70_0 .net "and4", 0 0, L_0x556a362f17c0; 1 drivers -v0x556a362e7b30_0 .net "notA", 0 0, L_0x556a362f0b80; 1 drivers -v0x556a362e7bf0_0 .net "notB", 0 0, L_0x556a362f0d00; 1 drivers -v0x556a362e7cb0_0 .net "notC", 0 0, L_0x556a362f0e80; 1 drivers -v0x556a362e7d70_0 .net "opCode", 7 0, L_0x556a362f2100; alias, 1 drivers -L_0x556a362f0c10 .part v0x556a362f09a0_0, 2, 1; -L_0x556a362f0d90 .part v0x556a362f09a0_0, 1, 1; -L_0x556a362f0f20 .part v0x556a362f09a0_0, 0, 1; -L_0x556a362f10c0 .part v0x556a362f09a0_0, 2, 1; -L_0x556a362f11e0 .part v0x556a362f09a0_0, 1, 1; -L_0x556a362f1390 .part v0x556a362f09a0_0, 1, 1; -L_0x556a362f16d0 .part v0x556a362f09a0_0, 2, 1; -L_0x556a362f1a00 .part v0x556a362f09a0_0, 0, 1; -L_0x556a362f1cd0 .part v0x556a362f09a0_0, 0, 1; -L_0x556a362f1f10 .part v0x556a362f09a0_0, 0, 1; -LS_0x556a362f2100_0_0 .concat8 [ 1 1 1 1], L_0x556a362f1830, L_0x556a362f1990, L_0x556a362f1b40, L_0x556a362f1c60; -LS_0x556a362f2100_0_4 .concat8 [ 1 1 1 1], L_0x556a362f1d70, L_0x556a362f1ea0, L_0x556a362f2010, L_0x556a362f1e30; -L_0x556a362f2100 .concat8 [ 4 4 0 0], LS_0x556a362f2100_0_0, LS_0x556a362f2100_0_4; -L_0x556a362f24c0 .part v0x556a362f09a0_0, 0, 1; - .scope S_0x556a362243c0; +L_0x559bc9e8cbc0 .functor NOT 1, L_0x559bc9e8cc50, C4<0>, C4<0>, C4<0>; +L_0x559bc9e8cd40 .functor NOT 1, L_0x559bc9e8cdd0, C4<0>, C4<0>, C4<0>; +L_0x559bc9e8cec0 .functor NOT 1, L_0x559bc9e8cf30, C4<0>, C4<0>, C4<0>; +L_0x559bc9e8cfd0 .functor AND 1, L_0x559bc9e8d0a0, L_0x559bc9e8d1c0, C4<1>, C4<1>; +L_0x559bc9e8d2b0 .functor AND 1, L_0x559bc9e8cbc0, L_0x559bc9e8d370, C4<1>, C4<1>; +L_0x559bc9e8d5b0 .functor AND 1, L_0x559bc9e8d6b0, L_0x559bc9e8cd40, C4<1>, C4<1>; +L_0x559bc9e8d7a0 .functor AND 1, L_0x559bc9e8cbc0, L_0x559bc9e8cd40, C4<1>, C4<1>; +L_0x559bc9e8d810 .functor AND 1, L_0x559bc9e8d7a0, L_0x559bc9e8cec0, C4<1>, C4<1>; +L_0x559bc9e8d970 .functor AND 1, L_0x559bc9e8d7a0, L_0x559bc9e8d9e0, C4<1>, C4<1>; +L_0x559bc9e8db20 .functor AND 1, L_0x559bc9e8d2b0, L_0x559bc9e8cec0, C4<1>, C4<1>; +L_0x559bc9e8dc40 .functor AND 1, L_0x559bc9e8d2b0, L_0x559bc9e8dcb0, C4<1>, C4<1>; +L_0x559bc9e8dd50 .functor AND 1, L_0x559bc9e8d5b0, L_0x559bc9e8cec0, C4<1>, C4<1>; +L_0x559bc9e8de80 .functor AND 1, L_0x559bc9e8d5b0, L_0x559bc9e8def0, C4<1>, C4<1>; +L_0x559bc9e8dff0 .functor AND 1, L_0x559bc9e8cfd0, L_0x559bc9e8cec0, C4<1>, C4<1>; +L_0x559bc9e8de10 .functor AND 1, L_0x559bc9e8cfd0, L_0x559bc9e8e4a0, C4<1>, C4<1>; +v0x559bc9e82460_0 .net "A", 2 0, v0x559bc9e8c9c0_0; alias, 1 drivers +v0x559bc9e82560_0 .net *"_ivl_1", 0 0, L_0x559bc9e8cc50; 1 drivers +v0x559bc9e82640_0 .net *"_ivl_11", 0 0, L_0x559bc9e8d370; 1 drivers +v0x559bc9e82700_0 .net *"_ivl_13", 0 0, L_0x559bc9e8d6b0; 1 drivers +v0x559bc9e827e0_0 .net *"_ivl_14", 0 0, L_0x559bc9e8d810; 1 drivers +v0x559bc9e82910_0 .net *"_ivl_16", 0 0, L_0x559bc9e8d970; 1 drivers +v0x559bc9e829f0_0 .net *"_ivl_19", 0 0, L_0x559bc9e8d9e0; 1 drivers +v0x559bc9e82ad0_0 .net *"_ivl_20", 0 0, L_0x559bc9e8db20; 1 drivers +v0x559bc9e82bb0_0 .net *"_ivl_22", 0 0, L_0x559bc9e8dc40; 1 drivers +v0x559bc9e82c90_0 .net *"_ivl_25", 0 0, L_0x559bc9e8dcb0; 1 drivers +v0x559bc9e82d70_0 .net *"_ivl_26", 0 0, L_0x559bc9e8dd50; 1 drivers +v0x559bc9e82e50_0 .net *"_ivl_28", 0 0, L_0x559bc9e8de80; 1 drivers +v0x559bc9e82f30_0 .net *"_ivl_3", 0 0, L_0x559bc9e8cdd0; 1 drivers +v0x559bc9e83010_0 .net *"_ivl_31", 0 0, L_0x559bc9e8def0; 1 drivers +v0x559bc9e830f0_0 .net *"_ivl_32", 0 0, L_0x559bc9e8dff0; 1 drivers +v0x559bc9e831d0_0 .net *"_ivl_34", 0 0, L_0x559bc9e8de10; 1 drivers +v0x559bc9e832b0_0 .net *"_ivl_38", 0 0, L_0x559bc9e8e4a0; 1 drivers +v0x559bc9e83390_0 .net *"_ivl_5", 0 0, L_0x559bc9e8cf30; 1 drivers +v0x559bc9e83470_0 .net *"_ivl_7", 0 0, L_0x559bc9e8d0a0; 1 drivers +v0x559bc9e83550_0 .net *"_ivl_9", 0 0, L_0x559bc9e8d1c0; 1 drivers +v0x559bc9e83630_0 .net "and1", 0 0, L_0x559bc9e8cfd0; 1 drivers +v0x559bc9e836f0_0 .net "and2", 0 0, L_0x559bc9e8d2b0; 1 drivers +v0x559bc9e837b0_0 .net "and3", 0 0, L_0x559bc9e8d5b0; 1 drivers +v0x559bc9e83870_0 .net "and4", 0 0, L_0x559bc9e8d7a0; 1 drivers +v0x559bc9e83930_0 .net "notA", 0 0, L_0x559bc9e8cbc0; 1 drivers +v0x559bc9e839f0_0 .net "notB", 0 0, L_0x559bc9e8cd40; 1 drivers +v0x559bc9e83ab0_0 .net "notC", 0 0, L_0x559bc9e8cec0; 1 drivers +v0x559bc9e83b70_0 .net "opCode", 7 0, L_0x559bc9e8e0e0; alias, 1 drivers +L_0x559bc9e8cc50 .part v0x559bc9e8c9c0_0, 2, 1; +L_0x559bc9e8cdd0 .part v0x559bc9e8c9c0_0, 1, 1; +L_0x559bc9e8cf30 .part v0x559bc9e8c9c0_0, 0, 1; +L_0x559bc9e8d0a0 .part v0x559bc9e8c9c0_0, 2, 1; +L_0x559bc9e8d1c0 .part v0x559bc9e8c9c0_0, 1, 1; +L_0x559bc9e8d370 .part v0x559bc9e8c9c0_0, 1, 1; +L_0x559bc9e8d6b0 .part v0x559bc9e8c9c0_0, 2, 1; +L_0x559bc9e8d9e0 .part v0x559bc9e8c9c0_0, 0, 1; +L_0x559bc9e8dcb0 .part v0x559bc9e8c9c0_0, 0, 1; +L_0x559bc9e8def0 .part v0x559bc9e8c9c0_0, 0, 1; +LS_0x559bc9e8e0e0_0_0 .concat8 [ 1 1 1 1], L_0x559bc9e8d810, L_0x559bc9e8d970, L_0x559bc9e8db20, L_0x559bc9e8dc40; +LS_0x559bc9e8e0e0_0_4 .concat8 [ 1 1 1 1], L_0x559bc9e8dd50, L_0x559bc9e8de80, L_0x559bc9e8dff0, L_0x559bc9e8de10; +L_0x559bc9e8e0e0 .concat8 [ 4 4 0 0], LS_0x559bc9e8e0e0_0_0, LS_0x559bc9e8e0e0_0_4; +L_0x559bc9e8e4a0 .part v0x559bc9e8c9c0_0, 0, 1; + .scope S_0x559bc9d9f690; T_0 ; %vpi_call 2 20 "$dumpfile", "ALU.vcd" {0 0 0}; %vpi_call 2 21 "$dumpvars" {0 0 0}; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 0, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 0, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 0, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 1, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 0, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 7, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 7, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 1, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 0, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 1, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 1, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 1, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 1, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 1, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 7, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 1, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 1, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 2, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 2, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 0, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 0, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 2, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 1, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 2, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %pushi/vec4 7, 0, 4; - %store/vec4 v0x556a362f05a0_0, 0, 4; + %store/vec4 v0x559bc9e8c570_0, 0, 4; %pushi/vec4 15, 0, 4; - %store/vec4 v0x556a362f0680_0, 0, 4; + %store/vec4 v0x559bc9e8c650_0, 0, 4; %pushi/vec4 1, 0, 1; - %store/vec4 v0x556a362f0740_0, 0, 1; + %store/vec4 v0x559bc9e8c710_0, 0, 1; %pushi/vec4 2, 0, 3; - %store/vec4 v0x556a362f09a0_0, 0, 3; + %store/vec4 v0x559bc9e8c9c0_0, 0, 3; %delay 5, 0; %vpi_call 2 39 "$finish" {0 0 0}; %end; .thread T_0; # The file index is used to find the file name in the following table. -:file_names 14; +:file_names 16; "N/A"; ""; "ALUTB.v"; @@ -1901,6 +2218,8 @@ T_0 ; "subtraction.v"; "fullsubtraction.v"; "halfsubtraction.v"; + "BinaryToBCD.v"; + "dabble.v"; "logicUnit.v"; "multiplier.v"; "opCode.v"; diff --git a/project0.2/ALU.v b/project0.2/ALU.v index 9a21a2d..a7bc1e9 100644 --- a/project0.2/ALU.v +++ b/project0.2/ALU.v @@ -2,7 +2,7 @@ module ALU ( input [3:0] A, B, input CarryIN, input [2:0] opCodeA, - output [7:0] Y, + output [11:0] bcd, output CarryOUT, overflow ); @@ -13,7 +13,7 @@ wire [3:0] add_Y, sub_Y; wire [3:0] resultA, resultO, resultX, lUOutput1; wire [3:0] aUtemp1, aUtemp2, lUOutput2; wire [3:0] wireY, wireLA; -wire [7:0] opwireM, wireM; +wire [7:0] opwireM, wireM, Y; opCode opCd (.A(opCodeA), .opCode(opCode8)); @@ -73,5 +73,7 @@ or o36 (Y[5], 1'b0, wireM[5]); or o37 (Y[6], 1'b0, wireM[6]); or o38 (Y[7], 1'b0, wireM[7]); +BinaryToBCD btod1(.binary(Y), .bcd(bcd)); // WIRE Y BINARY!!!! + endmodule diff --git a/project0.2/ALU.vcd b/project0.2/ALU.vcd index 278a14d..0be6227 100644 --- a/project0.2/ALU.vcd +++ b/project0.2/ALU.vcd @@ -1,5 +1,5 @@ $date - Sat Dec 21 18:01:05 2024 + Mon Dec 23 02:54:24 2024 $end $version Icarus Verilog @@ -9,7 +9,7 @@ $timescale $end $scope module ALUTB $end $var wire 1 ! overflow $end -$var wire 8 " Y [7:0] $end +$var wire 12 " bcd [11:0] $end $var wire 1 # CarryOUT $end $var reg 4 $ A [3:0] $end $var reg 4 % B [3:0] $end @@ -32,613 +32,843 @@ $var wire 8 2 opwireM [7:0] $end $var wire 8 3 opCode8 [7:0] $end $var wire 4 4 lUOutput2 [3:0] $end $var wire 4 5 lUOutput1 [3:0] $end -$var wire 4 6 add_Y [3:0] $end -$var wire 4 7 aUtemp2 [3:0] $end -$var wire 4 8 aUtemp1 [3:0] $end -$var wire 8 9 Y [7:0] $end +$var wire 12 6 bcd [11:0] $end +$var wire 4 7 add_Y [3:0] $end +$var wire 4 8 aUtemp2 [3:0] $end +$var wire 4 9 aUtemp1 [3:0] $end +$var wire 8 : Y [7:0] $end $var wire 1 # CarryOUT $end $scope module aU $end -$var wire 4 : A [3:0] $end -$var wire 4 ; B [3:0] $end +$var wire 4 ; A [3:0] $end +$var wire 4 < B [3:0] $end $var wire 1 & CarryIN $end $var wire 1 # CarryOUT $end -$var wire 2 < opCode [1:0] $end +$var wire 2 = opCode [1:0] $end $var wire 1 ! overflow $end -$var wire 4 = sub_Y [3:0] $end -$var wire 4 > subY [3:0] $end -$var wire 1 ? overflowADD $end -$var wire 4 @ add_Y [3:0] $end -$var wire 4 A addY [3:0] $end -$var wire 1 B CarryOUTSUB $end -$var wire 1 C CarryOUTADD $end +$var wire 4 > sub_Y [3:0] $end +$var wire 4 ? subY [3:0] $end +$var wire 1 @ overflowADD $end +$var wire 4 A add_Y [3:0] $end +$var wire 4 B addY [3:0] $end +$var wire 1 C CarryOUTSUB $end +$var wire 1 D CarryOUTADD $end $scope module a1 $end -$var wire 4 D A [3:0] $end -$var wire 4 E B [3:0] $end +$var wire 4 E A [3:0] $end +$var wire 4 F B [3:0] $end $var wire 1 & CarryIN $end -$var wire 1 ? overflow $end -$var wire 4 F Y [3:0] $end -$var wire 1 C CarryOUT $end -$var wire 3 G Carry4 [2:0] $end +$var wire 1 @ overflow $end +$var wire 4 G Y [3:0] $end +$var wire 1 D CarryOUT $end +$var wire 3 H Carry4 [2:0] $end $scope module f0 $end -$var wire 1 H A $end -$var wire 1 I B $end +$var wire 1 I A $end +$var wire 1 J B $end $var wire 1 & Carry $end -$var wire 1 J CarryO $end -$var wire 1 K xor1 $end -$var wire 1 L and2 $end -$var wire 1 M and1 $end -$var wire 1 N Sum $end +$var wire 1 K CarryO $end +$var wire 1 L xor1 $end +$var wire 1 M and2 $end +$var wire 1 N and1 $end +$var wire 1 O Sum $end $scope module h1 $end -$var wire 1 H A $end -$var wire 1 I B $end -$var wire 1 M Carry $end -$var wire 1 K Sum $end +$var wire 1 I A $end +$var wire 1 J B $end +$var wire 1 N Carry $end +$var wire 1 L Sum $end $upscope $end $scope module h2 $end -$var wire 1 K A $end +$var wire 1 L A $end $var wire 1 & B $end -$var wire 1 L Carry $end -$var wire 1 N Sum $end +$var wire 1 M Carry $end +$var wire 1 O Sum $end $upscope $end $upscope $end $scope module f1 $end -$var wire 1 O A $end -$var wire 1 P B $end -$var wire 1 Q Carry $end -$var wire 1 R CarryO $end -$var wire 1 S xor1 $end -$var wire 1 T and2 $end -$var wire 1 U and1 $end -$var wire 1 V Sum $end +$var wire 1 P A $end +$var wire 1 Q B $end +$var wire 1 R Carry $end +$var wire 1 S CarryO $end +$var wire 1 T xor1 $end +$var wire 1 U and2 $end +$var wire 1 V and1 $end +$var wire 1 W Sum $end $scope module h1 $end -$var wire 1 O A $end -$var wire 1 P B $end -$var wire 1 U Carry $end -$var wire 1 S Sum $end +$var wire 1 P A $end +$var wire 1 Q B $end +$var wire 1 V Carry $end +$var wire 1 T Sum $end $upscope $end $scope module h2 $end -$var wire 1 S A $end -$var wire 1 Q B $end -$var wire 1 T Carry $end -$var wire 1 V Sum $end +$var wire 1 T A $end +$var wire 1 R B $end +$var wire 1 U Carry $end +$var wire 1 W Sum $end $upscope $end $upscope $end $scope module f2 $end -$var wire 1 W A $end -$var wire 1 X B $end -$var wire 1 Y Carry $end -$var wire 1 Z CarryO $end -$var wire 1 [ xor1 $end -$var wire 1 \ and2 $end -$var wire 1 ] and1 $end -$var wire 1 ^ Sum $end +$var wire 1 X A $end +$var wire 1 Y B $end +$var wire 1 Z Carry $end +$var wire 1 [ CarryO $end +$var wire 1 \ xor1 $end +$var wire 1 ] and2 $end +$var wire 1 ^ and1 $end +$var wire 1 _ Sum $end $scope module h1 $end -$var wire 1 W A $end -$var wire 1 X B $end -$var wire 1 ] Carry $end -$var wire 1 [ Sum $end +$var wire 1 X A $end +$var wire 1 Y B $end +$var wire 1 ^ Carry $end +$var wire 1 \ Sum $end $upscope $end $scope module h2 $end -$var wire 1 [ A $end -$var wire 1 Y B $end -$var wire 1 \ Carry $end -$var wire 1 ^ Sum $end +$var wire 1 \ A $end +$var wire 1 Z B $end +$var wire 1 ] Carry $end +$var wire 1 _ Sum $end $upscope $end $upscope $end $scope module f3 $end -$var wire 1 _ A $end -$var wire 1 ` B $end -$var wire 1 a Carry $end -$var wire 1 C CarryO $end -$var wire 1 b xor1 $end -$var wire 1 c and2 $end -$var wire 1 d and1 $end -$var wire 1 e Sum $end +$var wire 1 ` A $end +$var wire 1 a B $end +$var wire 1 b Carry $end +$var wire 1 D CarryO $end +$var wire 1 c xor1 $end +$var wire 1 d and2 $end +$var wire 1 e and1 $end +$var wire 1 f Sum $end $scope module h1 $end -$var wire 1 _ A $end -$var wire 1 ` B $end -$var wire 1 d Carry $end -$var wire 1 b Sum $end +$var wire 1 ` A $end +$var wire 1 a B $end +$var wire 1 e Carry $end +$var wire 1 c Sum $end $upscope $end $scope module h2 $end -$var wire 1 b A $end -$var wire 1 a B $end -$var wire 1 c Carry $end -$var wire 1 e Sum $end +$var wire 1 c A $end +$var wire 1 b B $end +$var wire 1 d Carry $end +$var wire 1 f Sum $end $upscope $end $upscope $end $upscope $end $scope module s1 $end -$var wire 4 f A [3:0] $end -$var wire 4 g B [3:0] $end +$var wire 4 g A [3:0] $end +$var wire 4 h B [3:0] $end $var wire 1 & BorrowIN $end -$var wire 4 h tempB [3:0] $end -$var wire 4 i Y [3:0] $end -$var wire 1 B BorrowOUT $end +$var wire 4 i tempB [3:0] $end +$var wire 4 j Y [3:0] $end +$var wire 1 C BorrowOUT $end $scope module f0 $end -$var wire 1 j A $end -$var wire 1 k B $end +$var wire 1 k A $end +$var wire 1 l B $end $var wire 1 & BorrowIN $end -$var wire 1 l BorrowOut $end -$var wire 1 m tempD $end -$var wire 1 n tempB2 $end -$var wire 1 o tempB1 $end -$var wire 1 p Difference $end +$var wire 1 m BorrowOut $end +$var wire 1 n tempD $end +$var wire 1 o tempB2 $end +$var wire 1 p tempB1 $end +$var wire 1 q Difference $end $scope module hf1 $end -$var wire 1 j A $end -$var wire 1 k B $end -$var wire 1 o Borrow $end -$var wire 1 m Difference $end -$var wire 1 q notA $end +$var wire 1 k A $end +$var wire 1 l B $end +$var wire 1 p Borrow $end +$var wire 1 n Difference $end +$var wire 1 r notA $end $upscope $end $scope module hf2 $end -$var wire 1 m A $end +$var wire 1 n A $end $var wire 1 & B $end -$var wire 1 n Borrow $end -$var wire 1 p Difference $end -$var wire 1 r notA $end +$var wire 1 o Borrow $end +$var wire 1 q Difference $end +$var wire 1 s notA $end $upscope $end $upscope $end $scope module f1 $end -$var wire 1 s A $end -$var wire 1 t B $end -$var wire 1 u BorrowIN $end -$var wire 1 v BorrowOut $end -$var wire 1 w tempD $end -$var wire 1 x tempB2 $end -$var wire 1 y tempB1 $end -$var wire 1 z Difference $end +$var wire 1 t A $end +$var wire 1 u B $end +$var wire 1 v BorrowIN $end +$var wire 1 w BorrowOut $end +$var wire 1 x tempD $end +$var wire 1 y tempB2 $end +$var wire 1 z tempB1 $end +$var wire 1 { Difference $end $scope module hf1 $end -$var wire 1 s A $end -$var wire 1 t B $end -$var wire 1 y Borrow $end -$var wire 1 w Difference $end -$var wire 1 { notA $end +$var wire 1 t A $end +$var wire 1 u B $end +$var wire 1 z Borrow $end +$var wire 1 x Difference $end +$var wire 1 | notA $end $upscope $end $scope module hf2 $end -$var wire 1 w A $end -$var wire 1 u B $end -$var wire 1 x Borrow $end -$var wire 1 z Difference $end -$var wire 1 | notA $end +$var wire 1 x A $end +$var wire 1 v B $end +$var wire 1 y Borrow $end +$var wire 1 { Difference $end +$var wire 1 } notA $end $upscope $end $upscope $end $scope module f2 $end -$var wire 1 } A $end -$var wire 1 ~ B $end -$var wire 1 !" BorrowIN $end -$var wire 1 "" BorrowOut $end -$var wire 1 #" tempD $end -$var wire 1 $" tempB2 $end -$var wire 1 %" tempB1 $end -$var wire 1 &" Difference $end +$var wire 1 ~ A $end +$var wire 1 !" B $end +$var wire 1 "" BorrowIN $end +$var wire 1 #" BorrowOut $end +$var wire 1 $" tempD $end +$var wire 1 %" tempB2 $end +$var wire 1 &" tempB1 $end +$var wire 1 '" Difference $end $scope module hf1 $end -$var wire 1 } A $end -$var wire 1 ~ B $end -$var wire 1 %" Borrow $end -$var wire 1 #" Difference $end -$var wire 1 '" notA $end +$var wire 1 ~ A $end +$var wire 1 !" B $end +$var wire 1 &" Borrow $end +$var wire 1 $" Difference $end +$var wire 1 (" notA $end $upscope $end $scope module hf2 $end -$var wire 1 #" A $end -$var wire 1 !" B $end -$var wire 1 $" Borrow $end -$var wire 1 &" Difference $end -$var wire 1 (" notA $end +$var wire 1 $" A $end +$var wire 1 "" B $end +$var wire 1 %" Borrow $end +$var wire 1 '" Difference $end +$var wire 1 )" notA $end $upscope $end $upscope $end $scope module f3 $end -$var wire 1 )" A $end -$var wire 1 *" B $end -$var wire 1 +" BorrowIN $end -$var wire 1 B BorrowOut $end -$var wire 1 ," tempD $end -$var wire 1 -" tempB2 $end -$var wire 1 ." tempB1 $end -$var wire 1 /" Difference $end -$scope module hf1 $end -$var wire 1 )" A $end -$var wire 1 *" B $end -$var wire 1 ." Borrow $end -$var wire 1 ," Difference $end -$var wire 1 0" notA $end -$upscope $end -$scope module hf2 $end -$var wire 1 ," A $end +$var wire 1 *" A $end $var wire 1 +" B $end -$var wire 1 -" Borrow $end -$var wire 1 /" Difference $end +$var wire 1 ," BorrowIN $end +$var wire 1 C BorrowOut $end +$var wire 1 -" tempD $end +$var wire 1 ." tempB2 $end +$var wire 1 /" tempB1 $end +$var wire 1 0" Difference $end +$scope module hf1 $end +$var wire 1 *" A $end +$var wire 1 +" B $end +$var wire 1 /" Borrow $end +$var wire 1 -" Difference $end $var wire 1 1" notA $end $upscope $end +$scope module hf2 $end +$var wire 1 -" A $end +$var wire 1 ," B $end +$var wire 1 ." Borrow $end +$var wire 1 0" Difference $end +$var wire 1 2" notA $end $upscope $end $upscope $end $upscope $end +$upscope $end +$scope module btod1 $end +$var wire 8 3" binary [7:0] $end +$var wire 1 4" empty1 $end +$var wire 1 5" empty2 $end +$var wire 4 6" dab5 [3:0] $end +$var wire 4 7" dab4 [3:0] $end +$var wire 4 8" dab3 [3:0] $end +$var wire 4 9" dab2 [3:0] $end +$var wire 4 :" dab1 [3:0] $end +$var wire 12 ;" bcd [11:0] $end +$scope module d1t $end +$var wire 1 4" A $end +$var wire 1 <" B $end +$var wire 1 =" C $end +$var wire 1 >" D $end +$var wire 1 ?" E $end +$var wire 1 @" X $end +$var wire 1 A" Y $end +$var wire 1 B" Z $end +$var wire 1 C" nor1 $end +$var wire 1 D" nor2 $end +$var wire 1 E" nor3 $end +$var wire 1 F" or1 $end +$var wire 1 G" xor1 $end +$var wire 1 H" xor2 $end +$upscope $end +$scope module d2u $end +$var wire 1 I" A $end +$var wire 1 J" B $end +$var wire 1 K" C $end +$var wire 1 L" D $end +$var wire 1 M" E $end +$var wire 1 N" X $end +$var wire 1 O" Y $end +$var wire 1 P" Z $end +$var wire 1 Q" nor1 $end +$var wire 1 R" nor2 $end +$var wire 1 S" nor3 $end +$var wire 1 T" or1 $end +$var wire 1 U" xor1 $end +$var wire 1 V" xor2 $end +$upscope $end +$scope module d3v $end +$var wire 1 W" A $end +$var wire 1 X" B $end +$var wire 1 Y" C $end +$var wire 1 Z" D $end +$var wire 1 [" E $end +$var wire 1 \" X $end +$var wire 1 ]" Y $end +$var wire 1 ^" Z $end +$var wire 1 _" nor1 $end +$var wire 1 `" nor2 $end +$var wire 1 a" nor3 $end +$var wire 1 b" or1 $end +$var wire 1 c" xor1 $end +$var wire 1 d" xor2 $end +$upscope $end +$scope module d4w $end +$var wire 1 5" A $end +$var wire 1 e" B $end +$var wire 1 f" C $end +$var wire 1 g" D $end +$var wire 1 h" E $end +$var wire 1 i" X $end +$var wire 1 j" Y $end +$var wire 1 k" Z $end +$var wire 1 l" nor1 $end +$var wire 1 m" nor2 $end +$var wire 1 n" nor3 $end +$var wire 1 o" or1 $end +$var wire 1 p" xor1 $end +$var wire 1 q" xor2 $end +$upscope $end +$scope module d5x $end +$var wire 1 r" A $end +$var wire 1 s" B $end +$var wire 1 t" C $end +$var wire 1 u" D $end +$var wire 1 v" E $end +$var wire 1 w" X $end +$var wire 1 x" Y $end +$var wire 1 y" Z $end +$var wire 1 z" nor1 $end +$var wire 1 {" nor2 $end +$var wire 1 |" nor3 $end +$var wire 1 }" or1 $end +$var wire 1 ~" xor1 $end +$var wire 1 !# xor2 $end +$upscope $end +$scope module d6y $end +$var wire 1 "# A $end +$var wire 1 ## B $end +$var wire 1 $# C $end +$var wire 1 %# D $end +$var wire 1 &# E $end +$var wire 1 '# X $end +$var wire 1 (# Y $end +$var wire 1 )# Z $end +$var wire 1 *# nor1 $end +$var wire 1 +# nor2 $end +$var wire 1 ,# nor3 $end +$var wire 1 -# or1 $end +$var wire 1 .# xor1 $end +$var wire 1 /# xor2 $end +$upscope $end +$scope module d7z $end +$var wire 1 0# A $end +$var wire 1 1# B $end +$var wire 1 2# C $end +$var wire 1 3# D $end +$var wire 1 4# E $end +$var wire 1 5# X $end +$var wire 1 6# Y $end +$var wire 1 7# Z $end +$var wire 1 8# nor1 $end +$var wire 1 9# nor2 $end +$var wire 1 :# nor3 $end +$var wire 1 ;# or1 $end +$var wire 1 <# xor1 $end +$var wire 1 =# xor2 $end +$upscope $end +$upscope $end $scope module lU $end -$var wire 4 2" A [3:0] $end -$var wire 4 3" B [3:0] $end -$var wire 3 4" opCode [2:0] $end -$var wire 4 5" xor1 [3:0] $end -$var wire 4 6" resultX [3:0] $end -$var wire 4 7" resultO [3:0] $end -$var wire 4 8" resultA [3:0] $end -$var wire 4 9" or1 [3:0] $end -$var wire 4 :" and1 [3:0] $end +$var wire 4 ># A [3:0] $end +$var wire 4 ?# B [3:0] $end +$var wire 3 @# opCode [2:0] $end +$var wire 4 A# xor1 [3:0] $end +$var wire 4 B# resultX [3:0] $end +$var wire 4 C# resultO [3:0] $end +$var wire 4 D# resultA [3:0] $end +$var wire 4 E# or1 [3:0] $end +$var wire 4 F# and1 [3:0] $end $upscope $end $scope module mU $end -$var wire 4 ;" A [3:0] $end -$var wire 4 <" B [3:0] $end -$var wire 1 =" overflow2 $end -$var wire 1 >" overflow1 $end -$var wire 1 ?" overflow0 $end -$var wire 4 @" b0 [3:0] $end -$var wire 4 A" a2 [3:0] $end -$var wire 4 B" a1 [3:0] $end -$var wire 4 C" a0 [3:0] $end -$var wire 8 D" Y [7:0] $end -$var wire 5 E" S2 [4:0] $end -$var wire 5 F" S1 [4:0] $end -$var wire 5 G" S0 [4:0] $end +$var wire 4 G# A [3:0] $end +$var wire 4 H# B [3:0] $end +$var wire 1 I# overflow2 $end +$var wire 1 J# overflow1 $end +$var wire 1 K# overflow0 $end +$var wire 4 L# b0 [3:0] $end +$var wire 4 M# a2 [3:0] $end +$var wire 4 N# a1 [3:0] $end +$var wire 4 O# a0 [3:0] $end +$var wire 8 P# Y [7:0] $end +$var wire 5 Q# S2 [4:0] $end +$var wire 5 R# S1 [4:0] $end +$var wire 5 S# S0 [4:0] $end $scope module add0 $end -$var wire 4 H" A [3:0] $end -$var wire 4 I" B [3:0] $end -$var wire 1 J" CarryIN $end -$var wire 1 ?" overflow $end -$var wire 4 K" Y [3:0] $end -$var wire 1 L" CarryOUT $end -$var wire 3 M" Carry4 [2:0] $end +$var wire 4 T# A [3:0] $end +$var wire 4 U# B [3:0] $end +$var wire 1 V# CarryIN $end +$var wire 1 K# overflow $end +$var wire 4 W# Y [3:0] $end +$var wire 1 X# CarryOUT $end +$var wire 3 Y# Carry4 [2:0] $end $scope module f0 $end -$var wire 1 N" A $end -$var wire 1 O" B $end -$var wire 1 J" Carry $end -$var wire 1 P" CarryO $end -$var wire 1 Q" xor1 $end -$var wire 1 R" and2 $end -$var wire 1 S" and1 $end -$var wire 1 T" Sum $end +$var wire 1 Z# A $end +$var wire 1 [# B $end +$var wire 1 V# Carry $end +$var wire 1 \# CarryO $end +$var wire 1 ]# xor1 $end +$var wire 1 ^# and2 $end +$var wire 1 _# and1 $end +$var wire 1 `# Sum $end $scope module h1 $end -$var wire 1 N" A $end -$var wire 1 O" B $end -$var wire 1 S" Carry $end -$var wire 1 Q" Sum $end +$var wire 1 Z# A $end +$var wire 1 [# B $end +$var wire 1 _# Carry $end +$var wire 1 ]# Sum $end $upscope $end $scope module h2 $end -$var wire 1 Q" A $end -$var wire 1 J" B $end -$var wire 1 R" Carry $end -$var wire 1 T" Sum $end +$var wire 1 ]# A $end +$var wire 1 V# B $end +$var wire 1 ^# Carry $end +$var wire 1 `# Sum $end $upscope $end $upscope $end $scope module f1 $end -$var wire 1 U" A $end -$var wire 1 V" B $end -$var wire 1 W" Carry $end -$var wire 1 X" CarryO $end -$var wire 1 Y" xor1 $end -$var wire 1 Z" and2 $end -$var wire 1 [" and1 $end -$var wire 1 \" Sum $end +$var wire 1 a# A $end +$var wire 1 b# B $end +$var wire 1 c# Carry $end +$var wire 1 d# CarryO $end +$var wire 1 e# xor1 $end +$var wire 1 f# and2 $end +$var wire 1 g# and1 $end +$var wire 1 h# Sum $end $scope module h1 $end -$var wire 1 U" A $end -$var wire 1 V" B $end -$var wire 1 [" Carry $end -$var wire 1 Y" Sum $end +$var wire 1 a# A $end +$var wire 1 b# B $end +$var wire 1 g# Carry $end +$var wire 1 e# Sum $end $upscope $end $scope module h2 $end -$var wire 1 Y" A $end -$var wire 1 W" B $end -$var wire 1 Z" Carry $end -$var wire 1 \" Sum $end +$var wire 1 e# A $end +$var wire 1 c# B $end +$var wire 1 f# Carry $end +$var wire 1 h# Sum $end $upscope $end $upscope $end $scope module f2 $end -$var wire 1 ]" A $end -$var wire 1 ^" B $end -$var wire 1 _" Carry $end -$var wire 1 `" CarryO $end -$var wire 1 a" xor1 $end -$var wire 1 b" and2 $end -$var wire 1 c" and1 $end -$var wire 1 d" Sum $end +$var wire 1 i# A $end +$var wire 1 j# B $end +$var wire 1 k# Carry $end +$var wire 1 l# CarryO $end +$var wire 1 m# xor1 $end +$var wire 1 n# and2 $end +$var wire 1 o# and1 $end +$var wire 1 p# Sum $end $scope module h1 $end -$var wire 1 ]" A $end -$var wire 1 ^" B $end -$var wire 1 c" Carry $end -$var wire 1 a" Sum $end +$var wire 1 i# A $end +$var wire 1 j# B $end +$var wire 1 o# Carry $end +$var wire 1 m# Sum $end $upscope $end $scope module h2 $end -$var wire 1 a" A $end -$var wire 1 _" B $end -$var wire 1 b" Carry $end -$var wire 1 d" Sum $end +$var wire 1 m# A $end +$var wire 1 k# B $end +$var wire 1 n# Carry $end +$var wire 1 p# Sum $end $upscope $end $upscope $end $scope module f3 $end -$var wire 1 e" A $end -$var wire 1 f" B $end -$var wire 1 g" Carry $end -$var wire 1 L" CarryO $end -$var wire 1 h" xor1 $end -$var wire 1 i" and2 $end -$var wire 1 j" and1 $end -$var wire 1 k" Sum $end +$var wire 1 q# A $end +$var wire 1 r# B $end +$var wire 1 s# Carry $end +$var wire 1 X# CarryO $end +$var wire 1 t# xor1 $end +$var wire 1 u# and2 $end +$var wire 1 v# and1 $end +$var wire 1 w# Sum $end $scope module h1 $end -$var wire 1 e" A $end -$var wire 1 f" B $end -$var wire 1 j" Carry $end -$var wire 1 h" Sum $end +$var wire 1 q# A $end +$var wire 1 r# B $end +$var wire 1 v# Carry $end +$var wire 1 t# Sum $end $upscope $end $scope module h2 $end -$var wire 1 h" A $end -$var wire 1 g" B $end -$var wire 1 i" Carry $end -$var wire 1 k" Sum $end +$var wire 1 t# A $end +$var wire 1 s# B $end +$var wire 1 u# Carry $end +$var wire 1 w# Sum $end $upscope $end $upscope $end $upscope $end $scope module add1 $end -$var wire 4 l" A [3:0] $end -$var wire 4 m" B [3:0] $end -$var wire 1 n" CarryIN $end -$var wire 1 >" overflow $end -$var wire 4 o" Y [3:0] $end -$var wire 1 p" CarryOUT $end -$var wire 3 q" Carry4 [2:0] $end +$var wire 4 x# A [3:0] $end +$var wire 4 y# B [3:0] $end +$var wire 1 z# CarryIN $end +$var wire 1 J# overflow $end +$var wire 4 {# Y [3:0] $end +$var wire 1 |# CarryOUT $end +$var wire 3 }# Carry4 [2:0] $end $scope module f0 $end -$var wire 1 r" A $end -$var wire 1 s" B $end -$var wire 1 n" Carry $end -$var wire 1 t" CarryO $end -$var wire 1 u" xor1 $end -$var wire 1 v" and2 $end -$var wire 1 w" and1 $end -$var wire 1 x" Sum $end +$var wire 1 ~# A $end +$var wire 1 !$ B $end +$var wire 1 z# Carry $end +$var wire 1 "$ CarryO $end +$var wire 1 #$ xor1 $end +$var wire 1 $$ and2 $end +$var wire 1 %$ and1 $end +$var wire 1 &$ Sum $end $scope module h1 $end -$var wire 1 r" A $end -$var wire 1 s" B $end -$var wire 1 w" Carry $end -$var wire 1 u" Sum $end +$var wire 1 ~# A $end +$var wire 1 !$ B $end +$var wire 1 %$ Carry $end +$var wire 1 #$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 u" A $end -$var wire 1 n" B $end -$var wire 1 v" Carry $end -$var wire 1 x" Sum $end +$var wire 1 #$ A $end +$var wire 1 z# B $end +$var wire 1 $$ Carry $end +$var wire 1 &$ Sum $end $upscope $end $upscope $end $scope module f1 $end -$var wire 1 y" A $end -$var wire 1 z" B $end -$var wire 1 {" Carry $end -$var wire 1 |" CarryO $end -$var wire 1 }" xor1 $end -$var wire 1 ~" and2 $end -$var wire 1 !# and1 $end -$var wire 1 "# Sum $end +$var wire 1 '$ A $end +$var wire 1 ($ B $end +$var wire 1 )$ Carry $end +$var wire 1 *$ CarryO $end +$var wire 1 +$ xor1 $end +$var wire 1 ,$ and2 $end +$var wire 1 -$ and1 $end +$var wire 1 .$ Sum $end $scope module h1 $end -$var wire 1 y" A $end -$var wire 1 z" B $end -$var wire 1 !# Carry $end -$var wire 1 }" Sum $end +$var wire 1 '$ A $end +$var wire 1 ($ B $end +$var wire 1 -$ Carry $end +$var wire 1 +$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 }" A $end -$var wire 1 {" B $end -$var wire 1 ~" Carry $end -$var wire 1 "# Sum $end +$var wire 1 +$ A $end +$var wire 1 )$ B $end +$var wire 1 ,$ Carry $end +$var wire 1 .$ Sum $end $upscope $end $upscope $end $scope module f2 $end -$var wire 1 ## A $end -$var wire 1 $# B $end -$var wire 1 %# Carry $end -$var wire 1 &# CarryO $end -$var wire 1 '# xor1 $end -$var wire 1 (# and2 $end -$var wire 1 )# and1 $end -$var wire 1 *# Sum $end +$var wire 1 /$ A $end +$var wire 1 0$ B $end +$var wire 1 1$ Carry $end +$var wire 1 2$ CarryO $end +$var wire 1 3$ xor1 $end +$var wire 1 4$ and2 $end +$var wire 1 5$ and1 $end +$var wire 1 6$ Sum $end $scope module h1 $end -$var wire 1 ## A $end -$var wire 1 $# B $end -$var wire 1 )# Carry $end -$var wire 1 '# Sum $end +$var wire 1 /$ A $end +$var wire 1 0$ B $end +$var wire 1 5$ Carry $end +$var wire 1 3$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 '# A $end -$var wire 1 %# B $end -$var wire 1 (# Carry $end -$var wire 1 *# Sum $end +$var wire 1 3$ A $end +$var wire 1 1$ B $end +$var wire 1 4$ Carry $end +$var wire 1 6$ Sum $end $upscope $end $upscope $end $scope module f3 $end -$var wire 1 +# A $end -$var wire 1 ,# B $end -$var wire 1 -# Carry $end -$var wire 1 p" CarryO $end -$var wire 1 .# xor1 $end -$var wire 1 /# and2 $end -$var wire 1 0# and1 $end -$var wire 1 1# Sum $end +$var wire 1 7$ A $end +$var wire 1 8$ B $end +$var wire 1 9$ Carry $end +$var wire 1 |# CarryO $end +$var wire 1 :$ xor1 $end +$var wire 1 ;$ and2 $end +$var wire 1 <$ and1 $end +$var wire 1 =$ Sum $end $scope module h1 $end -$var wire 1 +# A $end -$var wire 1 ,# B $end -$var wire 1 0# Carry $end -$var wire 1 .# Sum $end +$var wire 1 7$ A $end +$var wire 1 8$ B $end +$var wire 1 <$ Carry $end +$var wire 1 :$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 .# A $end -$var wire 1 -# B $end -$var wire 1 /# Carry $end -$var wire 1 1# Sum $end +$var wire 1 :$ A $end +$var wire 1 9$ B $end +$var wire 1 ;$ Carry $end +$var wire 1 =$ Sum $end $upscope $end $upscope $end $upscope $end $scope module add2 $end -$var wire 4 2# A [3:0] $end -$var wire 4 3# B [3:0] $end -$var wire 1 4# CarryIN $end -$var wire 1 =" overflow $end -$var wire 4 5# Y [3:0] $end -$var wire 1 6# CarryOUT $end -$var wire 3 7# Carry4 [2:0] $end +$var wire 4 >$ A [3:0] $end +$var wire 4 ?$ B [3:0] $end +$var wire 1 @$ CarryIN $end +$var wire 1 I# overflow $end +$var wire 4 A$ Y [3:0] $end +$var wire 1 B$ CarryOUT $end +$var wire 3 C$ Carry4 [2:0] $end $scope module f0 $end -$var wire 1 8# A $end -$var wire 1 9# B $end -$var wire 1 4# Carry $end -$var wire 1 :# CarryO $end -$var wire 1 ;# xor1 $end -$var wire 1 <# and2 $end -$var wire 1 =# and1 $end -$var wire 1 ># Sum $end +$var wire 1 D$ A $end +$var wire 1 E$ B $end +$var wire 1 @$ Carry $end +$var wire 1 F$ CarryO $end +$var wire 1 G$ xor1 $end +$var wire 1 H$ and2 $end +$var wire 1 I$ and1 $end +$var wire 1 J$ Sum $end $scope module h1 $end -$var wire 1 8# A $end -$var wire 1 9# B $end -$var wire 1 =# Carry $end -$var wire 1 ;# Sum $end +$var wire 1 D$ A $end +$var wire 1 E$ B $end +$var wire 1 I$ Carry $end +$var wire 1 G$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 ;# A $end -$var wire 1 4# B $end -$var wire 1 <# Carry $end -$var wire 1 ># Sum $end +$var wire 1 G$ A $end +$var wire 1 @$ B $end +$var wire 1 H$ Carry $end +$var wire 1 J$ Sum $end $upscope $end $upscope $end $scope module f1 $end -$var wire 1 ?# A $end -$var wire 1 @# B $end -$var wire 1 A# Carry $end -$var wire 1 B# CarryO $end -$var wire 1 C# xor1 $end -$var wire 1 D# and2 $end -$var wire 1 E# and1 $end -$var wire 1 F# Sum $end +$var wire 1 K$ A $end +$var wire 1 L$ B $end +$var wire 1 M$ Carry $end +$var wire 1 N$ CarryO $end +$var wire 1 O$ xor1 $end +$var wire 1 P$ and2 $end +$var wire 1 Q$ and1 $end +$var wire 1 R$ Sum $end $scope module h1 $end -$var wire 1 ?# A $end -$var wire 1 @# B $end -$var wire 1 E# Carry $end -$var wire 1 C# Sum $end +$var wire 1 K$ A $end +$var wire 1 L$ B $end +$var wire 1 Q$ Carry $end +$var wire 1 O$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 C# A $end -$var wire 1 A# B $end -$var wire 1 D# Carry $end -$var wire 1 F# Sum $end +$var wire 1 O$ A $end +$var wire 1 M$ B $end +$var wire 1 P$ Carry $end +$var wire 1 R$ Sum $end $upscope $end $upscope $end $scope module f2 $end -$var wire 1 G# A $end -$var wire 1 H# B $end -$var wire 1 I# Carry $end -$var wire 1 J# CarryO $end -$var wire 1 K# xor1 $end -$var wire 1 L# and2 $end -$var wire 1 M# and1 $end -$var wire 1 N# Sum $end +$var wire 1 S$ A $end +$var wire 1 T$ B $end +$var wire 1 U$ Carry $end +$var wire 1 V$ CarryO $end +$var wire 1 W$ xor1 $end +$var wire 1 X$ and2 $end +$var wire 1 Y$ and1 $end +$var wire 1 Z$ Sum $end $scope module h1 $end -$var wire 1 G# A $end -$var wire 1 H# B $end -$var wire 1 M# Carry $end -$var wire 1 K# Sum $end +$var wire 1 S$ A $end +$var wire 1 T$ B $end +$var wire 1 Y$ Carry $end +$var wire 1 W$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 K# A $end -$var wire 1 I# B $end -$var wire 1 L# Carry $end -$var wire 1 N# Sum $end +$var wire 1 W$ A $end +$var wire 1 U$ B $end +$var wire 1 X$ Carry $end +$var wire 1 Z$ Sum $end $upscope $end $upscope $end $scope module f3 $end -$var wire 1 O# A $end -$var wire 1 P# B $end -$var wire 1 Q# Carry $end -$var wire 1 6# CarryO $end -$var wire 1 R# xor1 $end -$var wire 1 S# and2 $end -$var wire 1 T# and1 $end -$var wire 1 U# Sum $end +$var wire 1 [$ A $end +$var wire 1 \$ B $end +$var wire 1 ]$ Carry $end +$var wire 1 B$ CarryO $end +$var wire 1 ^$ xor1 $end +$var wire 1 _$ and2 $end +$var wire 1 `$ and1 $end +$var wire 1 a$ Sum $end $scope module h1 $end -$var wire 1 O# A $end -$var wire 1 P# B $end -$var wire 1 T# Carry $end -$var wire 1 R# Sum $end +$var wire 1 [$ A $end +$var wire 1 \$ B $end +$var wire 1 `$ Carry $end +$var wire 1 ^$ Sum $end $upscope $end $scope module h2 $end -$var wire 1 R# A $end -$var wire 1 Q# B $end -$var wire 1 S# Carry $end -$var wire 1 U# Sum $end +$var wire 1 ^$ A $end +$var wire 1 ]$ B $end +$var wire 1 _$ Carry $end +$var wire 1 a$ Sum $end $upscope $end $upscope $end $upscope $end $upscope $end $scope module opCd $end -$var wire 3 V# A [2:0] $end -$var wire 1 W# and1 $end -$var wire 1 X# and2 $end -$var wire 1 Y# and3 $end -$var wire 1 Z# and4 $end -$var wire 1 [# notA $end -$var wire 1 \# notB $end -$var wire 1 ]# notC $end -$var wire 8 ^# opCode [7:0] $end +$var wire 3 b$ A [2:0] $end +$var wire 1 c$ and1 $end +$var wire 1 d$ and2 $end +$var wire 1 e$ and3 $end +$var wire 1 f$ and4 $end +$var wire 1 g$ notA $end +$var wire 1 h$ notB $end +$var wire 1 i$ notC $end +$var wire 8 j$ opCode [7:0] $end $upscope $end $upscope $end $upscope $end $enddefinitions $end #0 $dumpvars -b1 ^# -1]# -1\# -1[# -1Z# -0Y# +b1 j$ +1i$ +1h$ +1g$ +1f$ +0e$ +0d$ +0c$ +b0 b$ +0a$ +0`$ +0_$ +0^$ +0]$ +0\$ +0[$ +0Z$ +0Y$ +0X$ +0W$ +0V$ +0U$ +0T$ +0S$ +0R$ +0Q$ +0P$ +0O$ +0N$ +0M$ +0L$ +0K$ +0J$ +0I$ +0H$ +0G$ +0F$ +0E$ +0D$ +b0 C$ +0B$ +b0 A$ +0@$ +b0 ?$ +b0 >$ +0=$ +0<$ +0;$ +0:$ +09$ +08$ +07$ +06$ +05$ +04$ +03$ +02$ +01$ +00$ +0/$ +0.$ +0-$ +0,$ +0+$ +0*$ +0)$ +0($ +0'$ +0&$ +0%$ +0$$ +0#$ +0"$ +0!$ +0~# +b0 }# +0|# +b0 {# +0z# +b0 y# +b0 x# +0w# +0v# +0u# +0t# +0s# +0r# +0q# +0p# +0o# +0n# +0m# +0l# +0k# +0j# +0i# +0h# +0g# +0f# +0e# +0d# +0c# +0b# +0a# +0`# +0_# +0^# +0]# +0\# +0[# +0Z# +b0 Y# 0X# -0W# -b0 V# -0U# -0T# -0S# -0R# -0Q# -0P# -0O# -0N# -0M# -0L# +b0 W# +0V# +b0 U# +b0 T# +b0 S# +b0 R# +b0 Q# +b0 P# +b0 O# +b0 N# +b0 M# +b0 L# 0K# 0J# 0I# -0H# -0G# -0F# -0E# -0D# -0C# -0B# -0A# -0@# -0?# -0># +b0 H# +b0 G# +b0 F# +b0 E# +b0 D# +b0 C# +b0 B# +b0 A# +b0 @# +b0 ?# +b0 ># 0=# 0<# -0;# +1;# 0:# -09# -08# -b0 7# +19# +18# +07# 06# -b0 5# +05# 04# -b0 3# -b0 2# +03# +02# 01# 00# 0/# 0.# -0-# +1-# 0,# -0+# -0*# +1+# +1*# 0)# 0(# 0'# @@ -649,10 +879,10 @@ b0 2# 0"# 0!# 0~" -0}" +1}" 0|" -0{" -0z" +1{" +1z" 0y" 0x" 0w" @@ -661,12 +891,12 @@ b0 2# 0t" 0s" 0r" -b0 q" +0q" 0p" -b0 o" +1o" 0n" -b0 m" -b0 l" +1m" +1l" 0k" 0j" 0i" @@ -676,10 +906,10 @@ b0 l" 0e" 0d" 0c" -0b" +1b" 0a" -0`" -0_" +1`" +1_" 0^" 0]" 0\" @@ -690,52 +920,52 @@ b0 l" 0W" 0V" 0U" -0T" +1T" 0S" -0R" -0Q" +1R" +1Q" 0P" 0O" 0N" -b0 M" +0M" 0L" -b0 K" +0K" 0J" -b0 I" -b0 H" -b0 G" -b0 F" -b0 E" -b0 D" -b0 C" -b0 B" -b0 A" -b0 @" +0I" +0H" +0G" +1F" +0E" +1D" +1C" +0B" +0A" +0@" 0?" 0>" 0=" -b0 <" +0<" b0 ;" b0 :" b0 9" b0 8" -b0 7" +b0z 7" b0 6" -b0 5" -b0 4" +05" +04" b0 3" -b0 2" +12" 11" -10" +00" 0/" 0." 0-" 0," 0+" 0*" -0)" +1)" 1(" -1'" +0'" 0&" 0%" 0$" @@ -743,9 +973,9 @@ b0 2" 0"" 0!" 0~ -0} +1} 1| -1{ +0{ 0z 0y 0x @@ -753,20 +983,20 @@ b0 2" 0v 0u 0t -0s +1s 1r -1q +0q 0p 0o 0n 0m 0l 0k -0j -b0 i -bz000 h +b0 j +bz000 i +b0 h b0 g -b0 f +0f 0e 0d 0c @@ -796,19 +1026,19 @@ b0 f 0K 0J 0I -0H +b0 H b0 G b0 F b0 E -b0 D +0D 0C -0B +b0 B b0 A -b0 @ -0? +0@ +b0 ? b0 > -b0 = -b1 < +b1 = +b0 < b0 ; b0 : b0 9 @@ -838,289 +1068,127 @@ b0 " 0! $end #5 -b1111 " -b1111 9 +15# +1:# +08# +11# +17# +1y" +1=# +1!# +09# +0{" +12# +0`" +1t" +1<# +b10101 " +b10101 6 +b10101 ;" +04# +1~" +b1100 6" +1v" +1c" +b1000 8" +1[" +13# +1u" +1Z" +b1111 : +b1111 3" b1111 - b1111 + -b1111 8 -b1111 6 -b1111 @ -1u -1!" -1+" +b1111 9 +b1111 7 +b1111 A +1v +1"" +1," 1! 1# -1N -1V -1^ -b1111 A -b1111 F -1e -1p -0r -1l -0z -0| -1v -0&" -0(" -bz111 h -1"" -b1 > -b1 i -0/" -01" -1B -1K -1S -1[ -1b -1m -1o -1w -1y -1#" -1%" -1," -1." -b1111 9" -b1111 5" -1I -1P -1X -1` -1k -1t -1~ -1*" -b1111 % -b1111 ) -b1111 ; -b1111 E -b1111 g -b1111 3" -b1111 <" -#10 -1z -1&" -b1111 > -b1111 i -1/" -0u -0!" -0+" -0! -0# -0l -0v -bz000 h -0"" -0B -0o -0y -0%" -0." -0q -0{ -0'" -00" -0I -0P -0X -0` -0k -0t -0~ -0*" -1H 1O 1W 1_ -1j -1s -1} -1)" -b0 % -b0 ) -b0 ; -b0 E -b0 g -b0 3" -b0 <" -b1111 $ -b1111 ( -b1111 : -b1111 D -b1111 f -b1111 2" -b1111 ;" -#15 -1T# -0L# -1B -1P# -1-" -1(# -1D# -1+" -1{" -1%# -1p" -16# -b1111 " -b1111 9 -1"" -10# -1t" -1|" -0/# -0>" -0S# -0=" -1$" -1,# -1w" -1!# -1-# -1A# -1I# -1Q# -b1111 - -1!" -1s" -1z" -b111 q" -1&# -1:# -1B# -b111 7# -1J# -1v -1L" -0)# -1=# -0E# -1M# -b1111 + +b1111 B +b1111 G +1f +1q +0s +1m +0{ +0} +1w +0'" +0)" +bz111 i +1#" +b1 ? +b1 j +00" +02" +1C +1L +1T +1\ +1c +1n +1p 1x -1\" -1d" -1i" -0?" -0$# -19# -0@# -1H# -1u -1W" -1_" -1g" -b1011 m" -b1101 3# -b1111 8 -1! -bz111 h -1l -1P" -1X" -b111 M" -1`" -b10110 G" -b110 K" -0k" -0x" -1"# -0*# -b11010 F" -b1010 o" -11# -0># -0F# -1N# -b11100 E" -b1100 5# -1U# +1z +1$" +1&" +1-" +1/" +b1111 E# +b1111 A# +1J +1Q 1Y 1a -0? -1# -1n -1S" -1[" -1c" -1h" -0u" -0}" -1'# -0.# -0;# -1C# -0K# -0R# -1Q -b1111 6 -b1111 @ -1R -1V -1Z -1^ -1C -1e -1r -1z -1| -1&" -1(" -1/" -11" -1O" -1V" -1^" -1N" -1U" -1]" -1e" -1r" -1y" -1## -1+# -18# -1?# -1G# -1O# -b111 G -1J -1M -0K -1U -0S -1] -0[ -1d -0b +1l +1u +1!" +1+" +b1111 % +b1111 ) +b1111 < +b1111 F +b1111 h +b1111 ?# +b1111 H# +#10 +1{ +1'" +b1111 ? +b1111 j +10" +0v +0"" +0," +0! +0# 0m 0w +bz000 i 0#" -0," -b1111 :" -b0 5" -b11100001 2 -b11100001 D" -b111 @" -b111 I" -b1111 C" -b1111 H" -b1111 B" -b1111 l" -b1111 A" -b1111 2# -0L -b1111 A -b1111 F -1N -b1111 > -b1111 i -1p +0C +0p +0z +0&" +0/" +0r +0| +0(" +01" +0J +0Q +0Y +0a +0l +0u +0!" +0+" 1I 1P 1X @@ -1129,1140 +1197,675 @@ b1111 i 1t 1~ 1*" -1& -b1111 % -b1111 ) +b0 % +b0 ) +b0 < +b0 F +b0 h +b0 ?# +b0 H# +b1111 $ +b1111 ( b1111 ; b1111 E b1111 g -b1111 3" -b1111 <" -#20 -0P# -06# -1>" -0=" -0p" -09# -1@# -0Q# -0J# -1~" -0"# -0(# -1*# -0,# -0!# -1}" -1)# -0'# -0I# -1?" -0z" -1$# -1H# -b110001 2 -b110001 D" -0A# -0B# -0L" -b101 m" -b110 3# -b0 7# -0:# -0># -0D# -1F# -0L# -1N# -1b" -0d" -0i" -b1010 G" -b1010 K" -1k" -0/# -b1100 F" -b1100 o" -11# -0S# -b110 E" -b110 5# -0U# -0=# -0;# -1C# -0M# -1K# -1? -0c" -1a" -0h" -00# -0.# -0T# -0R# +b1111 ># +b1111 G# +#15 +15# +1:# 08# -0?# -0G# -0C -0^" -0e" -0+# -0O# -0d +11# +1y" +17# +1=# +06# +1!# +1;# +12# +0`" +1t" +1<# +04# +1~" +b1100 6" +1v" +1c" +b1000 8" +1[" +1`$ +0X$ +13# +1u" +1Z" +1C +1\$ +b10101 " +b10101 6 +b10101 ;" +1." +14$ +1P$ +1," +1)$ +11$ +1|# +1B$ +b1111 : +b1111 3" +1#" +1<$ +1"$ +1*$ +0;$ +0J# +0_$ +0I# +1%" +18$ +1%$ +1-$ +19$ +1M$ +1U$ +1]$ +b1111 - +1"" +1!$ +1($ +b111 }# +12$ +1F$ +1N$ +b111 C$ +1V$ +1w +1X# +05$ +1I$ +0Q$ +1Y$ +b1111 + +1y +1h# +1p# +1u# +0K# +00$ +1E$ +0L$ +1T$ +1v +1c# +1k# +1s# +b1011 y# +b1101 ?$ +b1111 9 +1! +bz111 i +1m +1\# +1d# +b111 Y# +1l# +b10110 S# +b110 W# +0w# +0&$ +1.$ +06$ +b11010 R# +b1010 {# +1=$ +0J$ +0R$ +1Z$ +b11100 Q# +b1100 A$ +1a$ +1Z +1b +0@ +1# +1o +1_# +1g# +1o# +1t# +0#$ +0+$ +13$ +0:$ +0G$ +1O$ +0W$ +0^$ +1R +b1111 7 +b1111 A +1S +1W +1[ +1_ +1D +1f +1s +1{ +1} +1'" +1)" 10" -b111 :" -b111 9" -b11 @" -b11 I" -b111 C" -b111 H" -b111 B" -b111 l" -b0 A" -b0 2# +12" +1[# +1b# +1j# +1Z# +1a# +1i# +1q# +1~# +1'$ +1/$ +17$ +1D$ +1K$ +1S$ +1[$ +b111 H +1K +1N +0L +1V +0T +1^ +0\ +1e +0c +0n +0x +0$" +0-" +b1111 F# +b0 A# +b11100001 2 +b11100001 P# +b111 L# +b111 U# +b1111 O# +b1111 T# +b1111 N# +b1111 x# +b1111 M# +b1111 >$ +0M +b1111 B +b1111 G +1O +b1111 ? +b1111 j +1q +1J +1Q +1Y +1a +1l +1u +1!" +1+" +1& +b1111 % +b1111 ) +b1111 < +b1111 F +b1111 h +b1111 ?# +b1111 H# +#20 +0\$ +0B$ +1J# +0I# +0|# +0E$ +1L$ +0]$ +0V$ +1,$ +0.$ +04$ +16$ +08$ +0-$ +1+$ +15$ +03$ +0U$ +1K# +0($ +10$ +1T$ +b110001 2 +b110001 P# +0M$ +0N$ +0X# +b101 y# +b110 ?$ +b0 C$ +0F$ +0J$ +0P$ +1R$ +0X$ +1Z$ +1n# +0p# +0u# +b1010 S# +b1010 W# +1w# +0;$ +b1100 R# +b1100 {# +1=$ +0_$ +b110 Q# +b110 A$ +0a$ +0I$ +0G$ +1O$ +0Y$ +1W$ +1@ +0o# +1m# +0t# +0<$ +0:$ +0`$ +0^$ +0D$ +0K$ +0S$ +0D +0j# +0q# +07$ +0[$ +0e +11" +b111 F# +b111 E# +b11 L# +b11 U# +b111 O# +b111 T# +b111 N# +b111 x# +b0 M# +b0 >$ +0a +0+" 0` 0*" -0_ -0)" b111 % b111 ) +b111 < +b111 F +b111 h +b111 ?# +b111 H# +b111 $ +b111 ( b111 ; b111 E b111 g -b111 3" -b111 <" -b111 $ -b111 ( -b111 : -b111 D -b111 f -b111 2" -b111 ;" +b111 ># +b111 G# #25 -0N# -0K# -0H# +1{" +18# +05# +01# +0:# +0y" +19# +0!# +0=# +06# +07# +0Z$ +1`" +0t" +02# +1;# +0W$ +0c" +b0 8" +0[" +0~" +b0 6" +0v" +0<# +04# +b0 " +b0 6 +b0 ;" +0T$ +0Z" +0u" +03# 0! 0# -b0 " -b0 9 -0B -01# -0>" -0/" -0-" -0-# +b0 : +b0 3" +0C +0=$ +0J# +00" +0." +09$ b0 - -0+" -0s" -0$# -0&# -0># -b0 E" -b0 5# -0F# -0"" -0k" -0?" -0;# -0C# -b0 7 -b0 + -0&" -0$" -0\" -0g" -0z" -0%# -09# -0@# -0!" -0W" -0_" -0`" -b0 m" -0{" -0|" -b0 3# -b0 . -b0 = +0," +0!$ +00$ +02$ +0J$ +b0 Q# +b0 A$ +0R$ +0#" +0w# +0K# +0G$ +0O$ b0 8 +b0 + +0'" +0%" +0h# +0s# +0($ +01$ +0E$ +0L$ +0"" +0c# +0k# +0l# +b0 y# +0)$ +0*$ +b0 ?$ +b0 . +b0 > +b0 9 +0w +0W +0_ +0f +0@ +0\# +b0 Y# +0d# +0n# +b0 S# +b0 W# +0p# +b0 }# +0"$ +0&$ +0,$ +0.$ +04$ +b0 R# +b0 {# +06$ +0{ +0y +0R +0Z +0b +0_# +0g# +0m# +0%$ +0#$ +0+$ +05$ +03$ +b10 = +b0 7 +b0 A 0v +0K +0S +b0 H +0[ +0[# +0b# +0Z# +0a# +0i# +0~# +0'$ +0/$ +0i$ +b10 3 +b10 j$ +bz000 i +0m +0N 0V 0^ -0e -0? -0P" -b0 M" -0X" -0b" -b0 G" -b0 K" -0d" -b0 q" -0t" -0x" -0~" -0"# -0(# -b0 F" -b0 o" -0*# -0z -0x +1r +1| +1(" +b0 F# +b0 E# +b0 2 +b0 P# +b0 L# +b0 U# +b0 O# +b0 T# +b0 N# +b0 x# +b0 B +b0 G +0O +b0 ? +b0 j +0q +0o +0J 0Q 0Y -0a -0S" -0[" -0a" -0w" -0u" -0}" -0)# -0'# -b10 < -b0 6 -b0 @ -0u -0J -0R -b0 G -0Z -0O" -0V" -0N" -0U" -0]" -0r" -0y" -0## -0]# -b10 3 -b10 ^# -bz000 h 0l -0M -0U -0] -1q -1{ -1'" -b0 :" -b0 9" -b0 2 -b0 D" -b0 @" -b0 I" -b0 C" -b0 H" -b0 B" -b0 l" -b0 A -b0 F -0N -b0 > -b0 i -0p -0n +0u +0!" 0I 0P 0X 0k 0t 0~ -0H -0O -0W -0j -0s -0} b1 ' b1 * -b1 V# +b1 b$ 0& b0 % b0 ) +b0 < +b0 F +b0 h +b0 ?# +b0 H# +b0 $ +b0 ( b0 ; b0 E b0 g -b0 3" -b0 <" -b0 $ -b0 ( -b0 : -b0 D -b0 f -b0 2" -b0 ;" +b0 ># +b0 G# #30 +06# +1;# +18# +01# +07# +0y" +0=# +0!# +19# +1{" +02# +1`" +0t" +0<# b1 " -b1 9 +b1 6 +b1 ;" +04# +0~" +b0 6" +0v" +0c" +b0 8" +0[" +03# +0u" +0Z" +b1 : +b1 3" b1 - b1 + -b1 7 +b1 8 b1 . -b1 = -1u -1!" -1+" +b1 > +1v +1"" +1," 1! 1# -1N -1V -1^ -b1111 A -b1111 F -1e -1p -0r -1l -0z -0| -1v -0&" -0(" -bz111 h -1"" -b1 > -b1 i -0/" -01" -1B -1K -1S -1[ -1b -1m -1o -1w -1y -1#" -1%" -1," -1." -b1111 9" -b1111 5" -1I -1P -1X -1` -1k -1t -1~ -1*" -b1111 % -b1111 ) -b1111 ; -b1111 E -b1111 g -b1111 3" -b1111 <" -#35 -b1111 " -b1111 9 -b1111 - -b1111 + -b1111 7 -b1111 . -b1111 = -1z -1&" -b1111 > -b1111 i -1/" -0u -0!" -0+" -0! -0# -0l -0v -bz000 h -0"" -0B -0o -0y -0%" -0." -0q -0{ -0'" -00" -0I -0P -0X -0` -0k -0t -0~ -0*" -1H 1O 1W 1_ -1j -1s -1} -1)" -b0 % -b0 ) -b0 ; -b0 E -b0 g -b0 3" -b0 <" -b1111 $ -b1111 ( -b1111 : -b1111 D -b1111 f -b1111 2" -b1111 ;" -#40 -1T# -0L# -1B -1P# -1-" -1(# -1D# -1+" -1{" -1%# -1p" -16# -b1111 " -b1111 9 -1"" -10# -1t" -1|" -0/# -0>" -0S# -0=" -1$" -1,# -1w" -1!# -1-# -1A# -1I# -1Q# -b1111 - -1!" -1s" -1z" -b111 q" -1&# -1:# -1B# -b111 7# -1J# -1v -1L" -0)# -1=# -0E# -1M# -b1111 + +b1111 B +b1111 G +1f +1q +0s +1m +0{ +0} +1w +0'" +0)" +bz111 i +1#" +b1 ? +b1 j +00" +02" +1C +1L +1T +1\ +1c +1n +1p 1x -1\" -1d" -1i" -0?" -0$# -19# -0@# -1H# -1u -1W" -1_" -1g" -b1011 m" -b1101 3# -b1111 7 -1! -bz111 h -1l -1P" -1X" -b111 M" -1`" -b10110 G" -b110 K" -0k" -0x" -1"# -0*# -b11010 F" -b1010 o" -11# -0># -0F# -1N# -b11100 E" -b1100 5# -1U# +1z +1$" +1&" +1-" +1/" +b1111 E# +b1111 A# +1J +1Q 1Y 1a -0? -1# -1n -1S" -1[" -1c" -1h" -0u" -0}" -1'# -0.# -0;# -1C# -0K# -0R# -1Q -b1111 . -b1111 = -1R -1V -1Z -1^ -1C -1e -1r -1z -1| -1&" -1(" -1/" -11" -1O" -1V" -1^" -1N" -1U" -1]" -1e" -1r" -1y" -1## -1+# -18# -1?# -1G# -1O# -b111 G -1J -1M -0K -1U -0S -1] -0[ -1d -0b -0m -0w -0#" -0," -b1111 :" -b0 5" -b11100001 2 -b11100001 D" -b111 @" -b111 I" -b1111 C" -b1111 H" -b1111 B" -b1111 l" -b1111 A" -b1111 2# -0L -b1111 A -b1111 F -1N -b1111 > -b1111 i -1p -1I -1P -1X -1` -1k -1t -1~ -1*" -1& +1l +1u +1!" +1+" b1111 % b1111 ) -b1111 ; -b1111 E -b1111 g -b1111 3" -b1111 <" -#45 -1=" -06# -0A# -b111 " -b111 9 -0P# -b110 7# -0:# -1># -0D# -0F# -1>" -0=# -1;# -1E# -0C# -b111 - -0p" -09# -1@# -b111 + -1~" -0"# -0(# -1*# -0L# -1N# -0,# -0!# -1}" -1)# -0'# -1M# -0K# -b111 7 -1?" -0z" -1$# -1H# -b1101001 2 -b1101001 D" -0L" -b101 m" -b110 3# -b111 . -b111 = -1b" -0d" -0i" -b1010 G" -b1010 K" -1k" -0/# -b1100 F" -b1100 o" +b1111 < +b1111 F +b1111 h +b1111 ?# +b1111 H# +#35 +15# +1:# +08# 11# -0S# -b1101 E" -b1101 5# -1U# -0-" -0c" -1a" -0h" -00# -0.# -0T# -0R# -1c -b111 A -b111 F -0e -b111 > -b111 i -0/" -01" -1." -0^" -0e" -0+# -0O# -0d -1b -1," -10" -b111 :" -b1000 5" -b11 @" -b11 I" -b111 C" -b111 H" -b111 B" -b111 l" -b111 A" -b111 2# -0_ -0)" -b111 $ -b111 ( -b111 : -b111 D -b111 f -b111 2" -b111 ;" -#50 -0H# -0# -01# -0>" -0-# -0U# -0=" -0+" -0s" -0$# -0&# -0Q# -b0 - -0"" -0k" -0?" -0J# -b0 " -b0 9 -0&" -0$" -0\" -0g" -0z" -0%# +17# +1y" +1=# +1!# 09# -0@# -b0 + -0!" -0W" -0_" -0`" -b0 m" 0{" -0|" -b0 3# -0I# -b0 , +12# +0`" +1t" +1<# +b10101 " +b10101 6 +b10101 ;" +04# +1~" +b1100 6" +1v" +1c" +b1000 8" +1[" +13# +1u" +1Z" +b1111 : +b1111 3" +b1111 - +b1111 + +b1111 8 +b1111 . +b1111 > +1{ +1'" +b1111 ? +b1111 j +10" 0v -0V -0^ -0P" -b0 M" -0X" -0b" -b0 G" -b0 K" -0d" -b0 q" -0t" -0x" -0~" -0"# -0(# -b0 F" -b0 o" -0*# -0># -b0 7# -0B# -0F# -0L# -b0 E" -b0 5# -0N# -b0 7 -0z -0x -0C -0-" +0"" +0," 0! +0# +0m +0w +bz000 i +0#" +0C +0p +0z +0&" +0/" +0r +0| +0(" +01" +0J 0Q 0Y 0a -0S" -0[" -0a" -0w" -0u" -0}" -0)# -0'# -0;# -0E# -0C# -0M# -0K# -0Z# -b0 < -b0 . -b0 = -0u -0c -0e -0/" -11" -0B -0J -0R -b0 G -0Z -0O" -0V" -0N" -0U" -0]" -0r" -0y" -0## -08# -0?# -0G# -0\# -1]# -1X# -b100 3 -b100 ^# -bz000 h 0l -0b -0," -0." -b0 5" -0M -0U -0] -1q -1{ -1'" -b0 :" -b0 9" -b0 2 -b0 D" -b0 @" -b0 I" -b0 C" -b0 H" -b0 B" -b0 l" -b0 A" -b0 2# -b0 A -b0 F -0N -b0 > -b0 i -0p -0n -0I -0P -0X -0` -0k -0t -0~ -0*" -0H -0O -0W -0j -0s -0} -b10 ' -b10 * -b10 V# -0& -b0 % -b0 ) -b0 ; -b0 E -b0 g -b0 3" -b0 <" -b0 $ -b0 ( -b0 : -b0 D -b0 f -b0 2" -b0 ;" -#55 -1u -1!" -1+" -1! -1# -1N -1V -1^ -b1111 A -b1111 F -1e -1p -0r -1l -0z -0| -1v -0&" -0(" -bz111 h -1"" -b1 > -b1 i -0/" -01" -1B -1K -1S -1[ -1b -1m -1o -1w -1y -1#" -1%" -1," -1." -b1111 9" -b1111 5" -1I -1P -1X -1` -1k -1t -1~ -1*" -b1111 % -b1111 ) -b1111 ; -b1111 E -b1111 g -b1111 3" -b1111 <" -#60 -1z -1&" -b1111 > -b1111 i -1/" 0u 0!" 0+" -0! -0# -0l -0v -bz000 h -0"" -0B -0o -0y -0%" -0." -0q -0{ -0'" -00" -0I -0P -0X -0` -0k -0t -0~ -0*" -1H -1O -1W -1_ -1j -1s -1} -1)" -b0 % -b0 ) -b0 ; -b0 E -b0 g -b0 3" -b0 <" -b1111 $ -b1111 ( -b1111 : -b1111 D -b1111 f -b1111 2" -b1111 ;" -#65 -1T# -0L# -1B -1P# -1-" -1(# -1D# -1+" -1{" -1%# -1p" -16# -1"" -10# -1t" -1|" -0/# -0>" -0S# -0=" -1$" -1,# -1w" -1!# -1-# -1A# -1I# -1Q# -1!" -1s" -1z" -b111 q" -1&# -1:# -1B# -b111 7# -1J# -1v -1L" -0)# -1=# -0E# -1M# -1x -1\" -1d" -1i" -0?" -0$# -19# -0@# -1H# -1u -b11100001 " -b11100001 9 -1W" -1_" -1g" -b1011 m" -b1101 3# -1! -bz111 h -1l -1P" -1X" -b111 M" -1`" -b10110 G" -b110 K" -0k" -0x" -1"# -0*# -b11010 F" -b1010 o" -11# -0># -0F# -1N# -b11100 E" -b1100 5# -1U# -1Y -1a -0? -1# -1n -b11100001 , -1S" -1[" -1c" -1h" -0u" -0}" -1'# -0.# -0;# -1C# -0K# -0R# -1Q -1R -1V -1Z -1^ -1C -1e -1r -1z -1| -1&" -1(" -1/" -11" -1O" -1V" -1^" -1N" -1U" -1]" -1e" -1r" -1y" -1## -1+# -18# -1?# -1G# -1O# -b111 G -1J -1M -0K -1U -0S -1] -0[ -1d -0b -0m -0w -0#" -0," -b1111 :" -b0 5" -b11100001 2 -b11100001 D" -b111 @" -b111 I" -b1111 C" -b1111 H" -b1111 B" -b1111 l" -b1111 A" -b1111 2# -0L -b1111 A -b1111 F -1N -b1111 > -b1111 i -1p 1I 1P 1X @@ -2271,113 +1874,1206 @@ b1111 i 1t 1~ 1*" -1& -b1111 % -b1111 ) +b0 % +b0 ) +b0 < +b0 F +b0 h +b0 ?# +b0 H# +b1111 $ +b1111 ( b1111 ; b1111 E b1111 g -b1111 3" -b1111 <" -#70 -1=" -06# -0A# -0P# -b110 7# -0:# -1># -0D# -0F# -1>" -0=# -1;# -1E# -0C# -0p" -09# -1@# -b1101001 " -b1101001 9 -1~" -0"# -0(# -1*# -0L# -1N# -b1101001 , -0,# -0!# -1}" -1)# -0'# -1M# -0K# -1?" -0z" -1$# -1H# -b1101001 2 -b1101001 D" -0L" -b101 m" -b110 3# -1b" -0d" -0i" -b1010 G" -b1010 K" -1k" -0/# -b1100 F" -b1100 o" +b1111 ># +b1111 G# +#40 +15# +08# +1:# 11# -0S# -b1101 E" -b1101 5# -1U# -0-" -0c" -1a" -0h" -00# -0.# -0T# -0R# -1c -b111 A -b111 F -0e -b111 > -b111 i -0/" -01" +09# +0{" +1y" +17# +1=# +06# +1!# +1;# +12# +0`" +1t" +1<# +04# +1~" +b1100 6" +1v" +1c" +b1000 8" +1[" +1`$ +0X$ +1C +13# +1u" +1Z" +1\$ +b10101 " +b10101 6 +b10101 ;" 1." -0^" -0e" -0+# -0O# -0d -1b +14$ +1P$ 1," +1)$ +11$ +1|# +1B$ +b1111 : +b1111 3" +1#" +1<$ +1"$ +1*$ +0;$ +0J# +0_$ +0I# +1%" +18$ +1%$ +1-$ +19$ +1M$ +1U$ +1]$ +b1111 - +1"" +1!$ +1($ +b111 }# +12$ +1F$ +1N$ +b111 C$ +1V$ +1w +1X# +05$ +1I$ +0Q$ +1Y$ +b1111 + +1y +1h# +1p# +1u# +0K# +00$ +1E$ +0L$ +1T$ +1v +1c# +1k# +1s# +b1011 y# +b1101 ?$ +b1111 8 +1! +bz111 i +1m +1\# +1d# +b111 Y# +1l# +b10110 S# +b110 W# +0w# +0&$ +1.$ +06$ +b11010 R# +b1010 {# +1=$ +0J$ +0R$ +1Z$ +b11100 Q# +b1100 A$ +1a$ +1Z +1b +0@ +1# +1o +1_# +1g# +1o# +1t# +0#$ +0+$ +13$ +0:$ +0G$ +1O$ +0W$ +0^$ +1R +b1111 . +b1111 > +1S +1W +1[ +1_ +1D +1f +1s +1{ +1} +1'" +1)" 10" -b111 :" -b1000 5" -b11 @" -b11 I" -b111 C" -b111 H" -b111 B" -b111 l" -b111 A" -b111 2# -0_ -0)" +12" +1[# +1b# +1j# +1Z# +1a# +1i# +1q# +1~# +1'$ +1/$ +17$ +1D$ +1K$ +1S$ +1[$ +b111 H +1K +1N +0L +1V +0T +1^ +0\ +1e +0c +0n +0x +0$" +0-" +b1111 F# +b0 A# +b11100001 2 +b11100001 P# +b111 L# +b111 U# +b1111 O# +b1111 T# +b1111 N# +b1111 x# +b1111 M# +b1111 >$ +0M +b1111 B +b1111 G +1O +b1111 ? +b1111 j +1q +1J +1Q +1Y +1a +1l +1u +1!" +1+" +1& +b1111 % +b1111 ) +b1111 < +b1111 F +b1111 h +b1111 ?# +b1111 H# +#45 +05# +b111 " +b111 6 +b111 ;" +14# +0:# +18# +01# +b1000 6" +0y" +0!# +1I# +1`" +0t" +0B$ +0c" +b0 8" +0[" +0Z" +0M$ +b111 : +b111 3" +0\$ +b110 C$ +0F$ +1J$ +0P$ +0R$ +1J# +0I$ +1G$ +1Q$ +0O$ +b111 - +0|# +0E$ +1L$ +b111 + +1,$ +0.$ +04$ +16$ +0X$ +1Z$ +08$ +0-$ +1+$ +15$ +03$ +1Y$ +0W$ +b111 8 +1K# +0($ +10$ +1T$ +b1101001 2 +b1101001 P# +0X# +b101 y# +b110 ?$ +b111 . +b111 > +1n# +0p# +0u# +b1010 S# +b1010 W# +1w# +0;$ +b1100 R# +b1100 {# +1=$ +0_$ +b1101 Q# +b1101 A$ +1a$ +0." +0o# +1m# +0t# +0<$ +0:$ +0`$ +0^$ +1d +b111 B +b111 G +0f +b111 ? +b111 j +00" +02" +1/" +0j# +0q# +07$ +0[$ +0e +1c +1-" +11" +b111 F# +b1000 A# +b11 L# +b11 U# +b111 O# +b111 T# +b111 N# +b111 x# +b111 M# +b111 >$ +0` +0*" b111 $ b111 ( -b111 : -b111 D -b111 f -b111 2" -b111 ;" +b111 ; +b111 E +b111 g +b111 ># +b111 G# +#50 +0(# +0)# +0'# +00# +0,# +0x" +1+# +0.# +0&# +19# +1}" +1{" +0/# +0%# +0=# +0~" +0$# +1-# +0w" +02# +0s" +0p" +0h" +1*# +0|" +0v" +0^" +0g" +0## +1z" +0u" +1T" +0\" +1m" +b0z 7" +0k" +0r" +0a" +0q" +0]" +05# +07# +0f" +1b" +0:# +1;# +0d" +0N" +1_" +18# +0Y" +0S" +0X" +01# +0T$ +0U" +0M" +1Q" +1R" +b0 9" +0P" +b0 6" +0y" +0<# +04# +b0 " +b0 6 +b0 ;" +0# +0L" +0J" +0V" +0!# +03# +0=$ +0J# +0B" +1D" +0K" +1`" +0t" +09$ +0a$ +0I# +0H" +0G" +b0 :" +0?" +0c" +b0 8" +0[" +0," +0!$ +00$ +02$ +0]$ +0=" +0>" +0Z" +b0 - +0#" +0w# +0K# +0V$ +b0 : +b0 3" +0'" +0%" +0h# +0s# +0($ +01$ +0E$ +0L$ +b0 + +0"" +0c# +0k# +0l# +b0 y# +0)$ +0*$ +b0 ?$ +0U$ +b0 , +0w +0W +0_ +0\# +b0 Y# +0d# +0n# +b0 S# +b0 W# +0p# +b0 }# +0"$ +0&$ +0,$ +0.$ +04$ +b0 R# +b0 {# +06$ +0J$ +b0 C$ +0N$ +0R$ +0X$ +b0 Q# +b0 A$ +0Z$ +b0 8 +0{ +0y +0D +0." +0! +0R +0Z +0b +0_# +0g# +0m# +0%$ +0#$ +0+$ +05$ +03$ +0G$ +0Q$ +0O$ +0Y$ +0W$ +0f$ +b0 = +b0 . +b0 > +0v +0d +0f +00" +12" +0C +0K +0S +b0 H +0[ +0[# +0b# +0Z# +0a# +0i# +0~# +0'$ +0/$ +0D$ +0K$ +0S$ +0h$ +1i$ +1d$ +b100 3 +b100 j$ +bz000 i +0m +0c +0-" +0/" +b0 A# +0N +0V +0^ +1r +1| +1(" +b0 F# +b0 E# +b0 2 +b0 P# +b0 L# +b0 U# +b0 O# +b0 T# +b0 N# +b0 x# +b0 M# +b0 >$ +b0 B +b0 G +0O +b0 ? +b0 j +0q +0o +0J +0Q +0Y +0a +0l +0u +0!" +0+" +0I +0P +0X +0k +0t +0~ +b10 ' +b10 * +b10 b$ +0& +b0 % +b0 ) +b0 < +b0 F +b0 h +b0 ?# +b0 H# +b0 $ +b0 ( +b0 ; +b0 E +b0 g +b0 ># +b0 G# +#55 +1v +1"" +1," +1! +1# +1O +1W +1_ +b1111 B +b1111 G +1f +1q +0s +1m +0{ +0} +1w +0'" +0)" +bz111 i +1#" +b1 ? +b1 j +00" +02" +1C +1L +1T +1\ +1c +1n +1p +1x +1z +1$" +1&" +1-" +1/" +b1111 E# +b1111 A# +1J +1Q +1Y +1a +1l +1u +1!" +1+" +b1111 % +b1111 ) +b1111 < +b1111 F +b1111 h +b1111 ?# +b1111 H# +#60 +1{ +1'" +b1111 ? +b1111 j +10" +0v +0"" +0," +0! +0# +0m +0w +bz000 i +0#" +0C +0p +0z +0&" +0/" +0r +0| +0(" +01" +0J +0Q +0Y +0a +0l +0u +0!" +0+" +1I +1P +1X +1` +1k +1t +1~ +1*" +b0 % +b0 ) +b0 < +b0 F +b0 h +b0 ?# +b0 H# +b1111 $ +b1111 ( +b1111 ; +b1111 E +b1111 g +b1111 ># +b1111 G# +#65 +06# +0"# +0j" +1o" +1i" +1-# +1n" +0)# +0'# +1F" +0l" +0/# +0,# +1e" +0+# +0$# +1*# +0r" +1@" +1.# +1&# +1p" +0h" +0## +0]" +1E" +1%# +1g" +0m" +b0z 7" +0k" +0}" +0C" +1W" +05# +04# +1w" +1\" +0q" +1<" +1O" +0:# +1;# +1|" +1a" +0f" +1b" +0T" +18# +0z" +0_" +0N" +01# +1s" +0X" +0S" +09# +17# +0y" +1^" +0P" +0Q" +1`$ +0X$ +1=# +1!# +1d" +0V" +1J" +1C +1\$ +0{" +12# +0`" +1t" +1R" +0Y" +0K" +0D" +1B" +1." +14$ +1P$ +0~" +b1001 6" +1v" +1c" +b1101 8" +1[" +0U" +b10 9" +0M" +1G" +b101 :" +0?" +1H" +1," +1)$ +11$ +1|# +0u" +1B$ +0Z" +0L" +1>" +1=" +1#" +1<$ +1"$ +1*$ +0;$ +0J# +0_$ +0I# +1%" +18$ +1%$ +1-$ +19$ +1M$ +1U$ +1]$ +1"" +1!$ +1($ +b111 }# +12$ +1F$ +1N$ +b111 C$ +1V$ +1w +b1000100101 " +b1000100101 6 +b1000100101 ;" +1X# +05$ +1I$ +0Q$ +1Y$ +1y +1h# +1p# +1u# +0K# +00$ +1E$ +0L$ +1T$ +1v +b11100001 : +b11100001 3" +1c# +1k# +1s# +b1011 y# +b1101 ?$ +1! +bz111 i +1m +1\# +1d# +b111 Y# +1l# +b10110 S# +b110 W# +0w# +0&$ +1.$ +06$ +b11010 R# +b1010 {# +1=$ +0J$ +0R$ +1Z$ +b11100 Q# +b1100 A$ +1a$ +1Z +1b +0@ +1# +1o +b11100001 , +1_# +1g# +1o# +1t# +0#$ +0+$ +13$ +0:$ +0G$ +1O$ +0W$ +0^$ +1R +1S +1W +1[ +1_ +1D +1f +1s +1{ +1} +1'" +1)" +10" +12" +1[# +1b# +1j# +1Z# +1a# +1i# +1q# +1~# +1'$ +1/$ +17$ +1D$ +1K$ +1S$ +1[$ +b111 H +1K +1N +0L +1V +0T +1^ +0\ +1e +0c +0n +0x +0$" +0-" +b1111 F# +b0 A# +b11100001 2 +b11100001 P# +b111 L# +b111 U# +b1111 O# +b1111 T# +b1111 N# +b1111 x# +b1111 M# +b1111 >$ +0M +b1111 B +b1111 G +1O +b1111 ? +b1111 j +1q +1J +1Q +1Y +1a +1l +1u +1!" +1+" +1& +b1111 % +b1111 ) +b1111 < +b1111 F +b1111 h +b1111 ?# +b1111 H# +#70 +0<# +00# +0x" +1'# +0(# +1,# +1-# +0*# +1## +0)# +05# +04# +06# +1k" +0/# +0+# +09# +17# +0:# +1;# +0~" +1q" +0$# +0{" +1.# +0&# +1=# +18# +0r" +1f" +b10z 7" +0h" +1!# +1%# +12# +01# +0]" +1N" +1b" +1t" +0m" +1w" +1v" +b1001 6" +0y" +1C" +b100000101 " +b100000101 6 +b100000101 ;" +0i" +1S" +1[" +0p" +1|" +0}" +0<" +0U" +0n" +0g" +0z" +1Y" +1l" +0\" +1s" +1M" +0e" +0X" +0a" +0`" +b1100 8" +1^" +1Z" +0L" +0@" +0I" +0R" +0P" +1c" +1_" +1d" +0E" +0A" +1V" +0W" +0D" +1F" +1K" +b1001 9" +0O" +1I# +1G" +1?" +0T" +0B$ +1>" +0Q" +1J" +0M$ +b1100 :" +1B" +0\$ +b110 C$ +0F$ +1J$ +0P$ +0R$ +1H" +1J# +0I$ +1G$ +1Q$ +0O$ +1=" +0|# +0E$ +1L$ +b1101001 : +b1101001 3" +1,$ +0.$ +04$ +16$ +0X$ +1Z$ +b1101001 , +08$ +0-$ +1+$ +15$ +03$ +1Y$ +0W$ +1K# +0($ +10$ +1T$ +b1101001 2 +b1101001 P# +0X# +b101 y# +b110 ?$ +1n# +0p# +0u# +b1010 S# +b1010 W# +1w# +0;$ +b1100 R# +b1100 {# +1=$ +0_$ +b1101 Q# +b1101 A$ +1a$ +0." +0o# +1m# +0t# +0<$ +0:$ +0`$ +0^$ +1d +b111 B +b111 G +0f +b111 ? +b111 j +00" +02" +1/" +0j# +0q# +07$ +0[$ +0e +1c +1-" +11" +b111 F# +b1000 A# +b11 L# +b11 U# +b111 O# +b111 T# +b111 N# +b111 x# +b111 M# +b111 >$ +0` +0*" +b111 $ +b111 ( +b111 ; +b111 E +b111 g +b111 ># +b111 G# #75 diff --git a/project0.2/ALUTB.v b/project0.2/ALUTB.v index 1fe3a4c..53006eb 100644 --- a/project0.2/ALUTB.v +++ b/project0.2/ALUTB.v @@ -3,16 +3,16 @@ module ALUTB (); reg [3:0] A, B; reg CarryIN; reg [2:0] opCodeA; -wire [7:0] Y; wire CarryOUT, overflow; +wire [11:0] bcd; ALU uut( .A(A), .B(B), .CarryIN(CarryIN), .opCodeA(opCodeA), - .Y(Y), .CarryOUT(CarryOUT), + .bcd(bcd), .overflow(overflow) ); diff --git a/project0.2/BinaryToBCD.v b/project0.2/BinaryToBCD.v new file mode 100644 index 0000000..591e987 --- /dev/null +++ b/project0.2/BinaryToBCD.v @@ -0,0 +1,79 @@ +module BinaryToBCD ( + input [7:0] binary, + output [11:0] bcd +); + +wire empty1, empty2; +wire [3:0] dab1, dab2, dab3, dab4, dab5; + +and a111 (empty1, 1'b0, 1'b0); +and a000 (empty2, 1'b0, 1'b0); +and a222 (bcd[11], 1'b0, 1'b0); +and a223 (bcd[10], 1'b0, 1'b0); + +dabble d1t (.A((empty1)), +.B(binary[7]), +.C(binary[6]), +.D(binary[5]), +.X(dab1[0]), +.Y(dab1[1]), +.Z(dab1[2]), +.E(dab1[3])); + +dabble d2u (.A((dab1[1])), +.B(dab1[2]), +.C(dab1[3]), +.D(binary[4]), +.X(dab2[0]), +.Y(dab2[1]), +.Z(dab2[2]), +.E(dab2[3])); + +dabble d3v (.A((dab2[1])), +.B(dab2[2]), +.C(dab2[3]), +.D(binary[3]), +.X(dab3[0]), +.Y(dab3[1]), +.Z(dab3[2]), +.E(dab3[3])); + +dabble d4w (.A((empty2)), +.B(dab1[0]), +.C(dab2[0]), +.D(dab3[0]), +.X(bcd[9]), +.Y(dab4[1]), +.Z(dab4[2]), +.E(dab4[3])); + +dabble d5x (.A((dab3[1])), +.B(dab3[2]), +.C(dab3[3]), +.D(binary[2]), +.X(dab5[0]), +.Y(dab5[1]), +.Z(dab5[2]), +.E(dab5[3])); + +dabble d6y (.A((dab4[1])), +.B(dab4[2]), +.C(dab4[3]), +.D(dab5[0]), +.X(bcd[8]), +.Y(bcd[7]), +.Z(bcd[6]), +.E(bcd[5])); + +dabble d7z (.A((dab5[1])), +.B(dab5[2]), +.C(dab5[3]), +.D(binary[1]), +.X(bcd[4]), +.Y(bcd[3]), +.Z(bcd[2]), +.E(bcd[1])); + +or o1 (bcd[0], binary[0], 1'b0); + +endmodule diff --git a/project0.2/BinaryToBCD.vcd b/project0.2/BinaryToBCD.vcd new file mode 100644 index 0000000..d9c1ef4 --- /dev/null +++ b/project0.2/BinaryToBCD.vcd @@ -0,0 +1,568 @@ +$date + Mon Dec 23 02:48:47 2024 +$end +$version + Icarus Verilog +$end +$timescale + 1s +$end +$scope module BinaryToBCDTB $end +$var wire 12 ! bcd [11:0] $end +$var reg 8 " binary [7:0] $end +$scope module uut $end +$var wire 8 # binary [7:0] $end +$var wire 1 $ empty1 $end +$var wire 1 % empty2 $end +$var wire 4 & dab5 [3:0] $end +$var wire 4 ' dab4 [3:0] $end +$var wire 4 ( dab3 [3:0] $end +$var wire 4 ) dab2 [3:0] $end +$var wire 4 * dab1 [3:0] $end +$var wire 12 + bcd [11:0] $end +$scope module d1t $end +$var wire 1 $ A $end +$var wire 1 , B $end +$var wire 1 - C $end +$var wire 1 . D $end +$var wire 1 / E $end +$var wire 1 0 X $end +$var wire 1 1 Y $end +$var wire 1 2 Z $end +$var wire 1 3 nor1 $end +$var wire 1 4 nor2 $end +$var wire 1 5 nor3 $end +$var wire 1 6 or1 $end +$var wire 1 7 xor1 $end +$var wire 1 8 xor2 $end +$upscope $end +$scope module d2u $end +$var wire 1 9 A $end +$var wire 1 : B $end +$var wire 1 ; C $end +$var wire 1 < D $end +$var wire 1 = E $end +$var wire 1 > X $end +$var wire 1 ? Y $end +$var wire 1 @ Z $end +$var wire 1 A nor1 $end +$var wire 1 B nor2 $end +$var wire 1 C nor3 $end +$var wire 1 D or1 $end +$var wire 1 E xor1 $end +$var wire 1 F xor2 $end +$upscope $end +$scope module d3v $end +$var wire 1 G A $end +$var wire 1 H B $end +$var wire 1 I C $end +$var wire 1 J D $end +$var wire 1 K E $end +$var wire 1 L X $end +$var wire 1 M Y $end +$var wire 1 N Z $end +$var wire 1 O nor1 $end +$var wire 1 P nor2 $end +$var wire 1 Q nor3 $end +$var wire 1 R or1 $end +$var wire 1 S xor1 $end +$var wire 1 T xor2 $end +$upscope $end +$scope module d4w $end +$var wire 1 % A $end +$var wire 1 U B $end +$var wire 1 V C $end +$var wire 1 W D $end +$var wire 1 X E $end +$var wire 1 Y X $end +$var wire 1 Z Y $end +$var wire 1 [ Z $end +$var wire 1 \ nor1 $end +$var wire 1 ] nor2 $end +$var wire 1 ^ nor3 $end +$var wire 1 _ or1 $end +$var wire 1 ` xor1 $end +$var wire 1 a xor2 $end +$upscope $end +$scope module d5x $end +$var wire 1 b A $end +$var wire 1 c B $end +$var wire 1 d C $end +$var wire 1 e D $end +$var wire 1 f E $end +$var wire 1 g X $end +$var wire 1 h Y $end +$var wire 1 i Z $end +$var wire 1 j nor1 $end +$var wire 1 k nor2 $end +$var wire 1 l nor3 $end +$var wire 1 m or1 $end +$var wire 1 n xor1 $end +$var wire 1 o xor2 $end +$upscope $end +$scope module d6y $end +$var wire 1 p A $end +$var wire 1 q B $end +$var wire 1 r C $end +$var wire 1 s D $end +$var wire 1 t E $end +$var wire 1 u X $end +$var wire 1 v Y $end +$var wire 1 w Z $end +$var wire 1 x nor1 $end +$var wire 1 y nor2 $end +$var wire 1 z nor3 $end +$var wire 1 { or1 $end +$var wire 1 | xor1 $end +$var wire 1 } xor2 $end +$upscope $end +$scope module d7z $end +$var wire 1 ~ A $end +$var wire 1 !" B $end +$var wire 1 "" C $end +$var wire 1 #" D $end +$var wire 1 $" E $end +$var wire 1 %" X $end +$var wire 1 &" Y $end +$var wire 1 '" Z $end +$var wire 1 (" nor1 $end +$var wire 1 )" nor2 $end +$var wire 1 *" nor3 $end +$var wire 1 +" or1 $end +$var wire 1 ," xor1 $end +$var wire 1 -" xor2 $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +#0 +$dumpvars +0-" +0," +1+" +0*" +1)" +1(" +0'" +0&" +0%" +0$" +0#" +0"" +0!" +0~ +0} +0| +1{ +0z +1y +1x +0w +0v +0u +0t +0s +0r +0q +0p +0o +0n +1m +0l +1k +1j +0i +0h +0g +0f +0e +0d +0c +0b +0a +0` +1_ +0^ +1] +1\ +0[ +0Z +0Y +0X +0W +0V +0U +0T +0S +1R +0Q +1P +1O +0N +0M +0L +0K +0J +0I +0H +0G +0F +0E +1D +0C +1B +1A +0@ +0? +0> +0= +0< +0; +0: +09 +08 +07 +16 +05 +14 +13 +02 +01 +00 +0/ +0. +0- +0, +b0 + +b0 * +b0 ) +b0 ( +b0z ' +b0 & +0% +0$ +b0 # +b0 " +b0 ! +$end +#10 +1%" +1*" +0(" +1!" +0k +b100 & +1i +1o +0P +1d +0)" +1S +b1000 ( +1K +1," +b10000 ! +b10000 + +0$" +1J +1#" +b1010 " +b1010 # +#20 +0%" +1$" +0*" +0y +b1000010 ! +b1000010 + +1w +1(" +1} +0!" +0] +1r +1k +b0 & +0i +1` +b100z ' +1X +0o +1W +0d +1L +b1 ( +0K +1Q +0O +1H +0B +b100 ) +1@ +1F +04 +1; +17 +b1000 * +1/ +1. +b101010 " +b101010 # +#30 +1&" +0+" +1v +0," +1y +0{ +1~ +0u +1h +0z +0m +0x +1q +1c +0'" +1[ +1N +0w +0| +0t +1-" +1a +1T +1O +0} +0s +0"" +1%" +0$" +1V +1I +0H +0] +0r +0g +0f +1*" +1> +1= +b1001 ) +0@ +0` +b10z ' +0X +0l +0(" +1C +0D +0W +0n +0j +0!" +0A +0L +0b +1k +b10 & +0i +1: +0Q +0M +0o +b1100 * +12 +0P +1R +0d +18 +0S +b100 ( +0K +b10011001 ! +b10011001 + +1- +0J +b1100011 " +b1100011 # +#40 +1w +1n +1b +1M +1} +1u +0R +1r +1z +0v +1` +b110z ' +1X +1!" +0y +1{ +1G +1W +1i +1| +0t +1? +1L +1m +1s +1"" +1%" +1Q +0j +1g +1f +1*" +0)" +0O +0c +1l +0(" +1-" +19 +0H +0N +0k +0~ +0: +11 +1F +0@ +1T +1o +b1101 & +0h +0&" +0'" +02 +14 +06 +0; +0D +0I +0d +0+" +03 +08 +07 +b10 * +0/ +0E +b11 ) +0= +0S +b11 ( +0K +0," +b101010011 ! +b101010011 + +1$" +1, +0- +0. +1< +1J +0#" +b10011001 " +b10011001 # +#50 +0t +1{ +1w +0y +1} +1%" +0| +0u +1r +1*" +0s +0z +1X +1o +0(" +0g +1x +0_ +0l +0q +0c +0` +1j +b100z ' +0[ +0N +1Y +0W +1d +0b +1a +1^ +0L +1K +b1000 ( +0M +0&" +1V +0I +0H +0\ +0F +0Q +1R +1> +0= +0@ +1U +1S +1O +0T +1C +1D +10 +0G +0~ +1!" +1: +1E +0A +15 +b1 ) +0? +0h +1i +1-" +1'" +12 +09 +04 +16 +0; +1m +1"" +1+" +18 +01 +17 +b101 * +0/ +1n +b1100 & +1f +1," +b1001010101 ! +b1001010101 + +0$" +1- +1. +1e +1#" +b11111111 " +b11111111 # +#60 diff --git a/project0.2/BinaryToBCDTB.v b/project0.2/BinaryToBCDTB.v new file mode 100644 index 0000000..52742bc --- /dev/null +++ b/project0.2/BinaryToBCDTB.v @@ -0,0 +1,40 @@ +module BinaryToBCDTB; + // Testbench signals + reg [7:0] binary; + wire [11:0] bcd; // Output BCD + + // Instantiate the BinaryToBCD module + BinaryToBCD uut ( + .binary(binary), + .bcd(bcd) + ); + + // Testbench procedure + initial begin + $monitor("Time: %0t | Binary: %b | BCD: %b (Hundreds: %d, Tens: %d, Ones: %d)", + $time, binary, bcd, bcd[11:8], bcd[7:4], bcd[3:0]); + $dumpfile("BinaryToBCD.vcd"); + $dumpvars; + // Test cases + binary = 8'b00000000; // Decimal: 0 + #10; + + binary = 8'b00001010; // Decimal: 10 + #10; + + binary = 8'b00101010; // Decimal: 42 + #10; + + binary = 8'b01100011; // Decimal: 99 + #10; + + binary = 8'b10011001; // Decimal: 153 + #10; + + binary = 8'b11111111; // Decimal: 255 + #10; + + // End simulation + $finish; + end +endmodule diff --git a/project0.2/binarytobcd b/project0.2/binarytobcd new file mode 100644 index 0000000..6e0ac0b --- /dev/null +++ b/project0.2/binarytobcd @@ -0,0 +1,362 @@ +#! /usr/bin/vvp +:ivl_version "11.0 (stable)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision + 0; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/system.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_sys.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi"; +S_0x56140140d330 .scope module, "BinaryToBCDTB" "BinaryToBCDTB" 2 1; + .timescale 0 0; +v0x561401436a70_0 .net "bcd", 11 0, L_0x56140143cdd0; 1 drivers +v0x561401436b30_0 .var "binary", 7 0; +S_0x56140140a900 .scope module, "uut" "BinaryToBCD" 2 7, 3 1 0, S_0x56140140d330; + .timescale 0 0; + .port_info 0 /INPUT 8 "binary"; + .port_info 1 /OUTPUT 12 "bcd"; +L_0x7f952d86e018 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f952d86e060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x561401413c60 .functor AND 1, L_0x7f952d86e018, L_0x7f952d86e060, C4<1>, C4<1>; +L_0x7f952d86e0a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f952d86e0f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x561401436c90 .functor AND 1, L_0x7f952d86e0a8, L_0x7f952d86e0f0, C4<1>, C4<1>; +L_0x7f952d86e138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f952d86e180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x561401436df0 .functor AND 1, L_0x7f952d86e138, L_0x7f952d86e180, C4<1>, C4<1>; +L_0x7f952d86e1c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x7f952d86e210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x561401436f80 .functor AND 1, L_0x7f952d86e1c8, L_0x7f952d86e210, C4<1>, C4<1>; +L_0x7f952d86e258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>; +L_0x56140143d200 .functor OR 1, L_0x56140143d2c0, L_0x7f952d86e258, C4<0>, C4<0>; +v0x561401435620_0 .net/2u *"_ivl_0", 0 0, L_0x7f952d86e018; 1 drivers +v0x561401435720_0 .net/2u *"_ivl_10", 0 0, L_0x7f952d86e138; 1 drivers +v0x561401435800_0 .net/2u *"_ivl_12", 0 0, L_0x7f952d86e180; 1 drivers +v0x5614014358c0_0 .net *"_ivl_132", 0 0, L_0x56140143d200; 1 drivers +v0x5614014359a0_0 .net *"_ivl_136", 0 0, L_0x56140143d2c0; 1 drivers +v0x561401435a80_0 .net/2u *"_ivl_137", 0 0, L_0x7f952d86e258; 1 drivers +v0x561401435b60_0 .net *"_ivl_14", 0 0, L_0x561401436f80; 1 drivers +o0x7f952d8b8e48 .functor BUFZ 1, C4; HiZ drive +; Elide local net with no drivers, v0x561401435c40_0 name=_ivl_141 +v0x561401435d20_0 .net/2u *"_ivl_16", 0 0, L_0x7f952d86e1c8; 1 drivers +v0x561401435e90_0 .net/2u *"_ivl_18", 0 0, L_0x7f952d86e210; 1 drivers +v0x561401435f70_0 .net/2u *"_ivl_2", 0 0, L_0x7f952d86e060; 1 drivers +v0x561401436050_0 .net/2u *"_ivl_4", 0 0, L_0x7f952d86e0a8; 1 drivers +v0x561401436130_0 .net/2u *"_ivl_6", 0 0, L_0x7f952d86e0f0; 1 drivers +v0x561401436210_0 .net *"_ivl_8", 0 0, L_0x561401436df0; 1 drivers +v0x5614014362f0_0 .net "bcd", 11 0, L_0x56140143cdd0; alias, 1 drivers +v0x5614014363d0_0 .net "binary", 7 0, v0x561401436b30_0; 1 drivers +v0x5614014364b0_0 .net "dab1", 3 0, L_0x561401437c20; 1 drivers +v0x561401436590_0 .net "dab2", 3 0, L_0x561401438a60; 1 drivers +v0x561401436670_0 .net "dab3", 3 0, L_0x561401439840; 1 drivers +v0x561401436750_0 .net "dab4", 3 0, L_0x56140143d510; 1 drivers +v0x561401436830_0 .net "dab5", 3 0, L_0x56140143b080; 1 drivers +v0x561401436910_0 .net "empty1", 0 0, L_0x561401413c60; 1 drivers +v0x5614014369b0_0 .net "empty2", 0 0, L_0x561401436c90; 1 drivers +L_0x561401437960 .part v0x561401436b30_0, 7, 1; +L_0x561401437a50 .part v0x561401436b30_0, 6, 1; +L_0x561401437af0 .part v0x561401436b30_0, 5, 1; +L_0x561401437c20 .concat8 [ 1 1 1 1], L_0x561401437500, L_0x561401437670, L_0x5614014377d0, L_0x561401437840; +L_0x561401438630 .part L_0x561401437c20, 1, 1; +L_0x561401438760 .part L_0x561401437c20, 2, 1; +L_0x561401438840 .part L_0x561401437c20, 3, 1; +L_0x561401438970 .part v0x561401436b30_0, 4, 1; +L_0x561401438a60 .concat8 [ 1 1 1 1], L_0x5614014381d0, L_0x561401438340, L_0x5614014384a0, L_0x561401438510; +L_0x5614014393d0 .part L_0x561401438a60, 1, 1; +L_0x561401439560 .part L_0x561401438a60, 2, 1; +L_0x561401439600 .part L_0x561401438a60, 3, 1; +L_0x5614014397a0 .part v0x561401436b30_0, 3, 1; +L_0x561401439840 .concat8 [ 1 1 1 1], L_0x561401438fb0, L_0x5614014390e0, L_0x561401439240, L_0x5614014392b0; +L_0x56140143a190 .part L_0x561401437c20, 0, 1; +L_0x56140143a230 .part L_0x561401438a60, 0, 1; +L_0x56140143a360 .part L_0x561401439840, 0, 1; +L_0x56140143acc0 .part L_0x561401439840, 1, 1; +L_0x56140143ae90 .part L_0x561401439840, 2, 1; +L_0x56140143af30 .part L_0x561401439840, 3, 1; +L_0x56140143adf0 .part v0x561401436b30_0, 2, 1; +L_0x56140143b080 .concat8 [ 1 1 1 1], L_0x56140143a8a0, L_0x56140143a9d0, L_0x56140143ab30, L_0x56140143aba0; +L_0x56140143bac0 .part L_0x56140143d510, 1, 1; +L_0x56140143bbf0 .part L_0x56140143d510, 2, 1; +L_0x56140143bd60 .part L_0x56140143d510, 3, 1; +L_0x56140143be00 .part L_0x56140143b080, 0, 1; +L_0x56140143c840 .part L_0x56140143b080, 1, 1; +L_0x56140143c970 .part L_0x56140143b080, 2, 1; +L_0x56140143cb90 .part L_0x56140143b080, 3, 1; +L_0x56140143cc30 .part v0x561401436b30_0, 1, 1; +LS_0x56140143cdd0_0_0 .concat8 [ 1 1 1 1], L_0x56140143d200, L_0x56140143c720, L_0x56140143c6b0, L_0x56140143c550; +LS_0x56140143cdd0_0_4 .concat8 [ 1 1 1 1], L_0x56140143c420, L_0x56140143b9a0, L_0x56140143b930, L_0x56140143b7d0; +LS_0x56140143cdd0_0_8 .concat8 [ 1 1 1 1], L_0x56140143b6a0, L_0x561401439d70, L_0x561401436f80, L_0x561401436df0; +L_0x56140143cdd0 .concat8 [ 4 4 4 0], LS_0x56140143cdd0_0_0, LS_0x56140143cdd0_0_4, LS_0x56140143cdd0_0_8; +L_0x56140143d2c0 .part v0x561401436b30_0, 0, 1; +L_0x56140143d510 .concat [ 1 1 1 1], o0x7f952d8b8e48, L_0x561401439ea0, L_0x56140143a000, L_0x56140143a070; +S_0x561401408560 .scope module, "d1t" "dabble" 3 14, 4 1 0, S_0x56140140a900; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x5614014370c0 .functor XOR 1, L_0x561401413c60, L_0x561401437af0, C4<0>, C4<0>; +L_0x561401437130 .functor NOR 1, L_0x561401413c60, L_0x561401437960, C4<0>, C4<0>; +L_0x561401437200 .functor XOR 1, L_0x561401413c60, L_0x561401437a50, C4<0>, C4<0>; +L_0x5614014372d0 .functor NOR 1, L_0x5614014370c0, L_0x561401437200, C4<0>, C4<0>; +L_0x5614014373f0 .functor NOR 1, L_0x5614014372d0, L_0x561401437130, C4<0>, C4<0>; +L_0x561401437500 .functor BUF 1, L_0x5614014373f0, C4<0>, C4<0>, C4<0>; +L_0x561401437600 .functor OR 1, L_0x5614014370c0, L_0x561401437130, C4<0>, C4<0>; +L_0x561401437670 .functor NOR 1, L_0x561401437600, L_0x561401437a50, C4<0>, C4<0>; +L_0x5614014377d0 .functor AND 1, L_0x561401437600, L_0x561401437200, C4<1>, C4<1>; +L_0x561401437840 .functor XOR 1, L_0x5614014373f0, L_0x561401437af0, C4<0>, C4<0>; +v0x56140140b5f0_0 .net "A", 0 0, L_0x561401413c60; alias, 1 drivers +v0x56140140b2e0_0 .net "B", 0 0, L_0x561401437960; 1 drivers +v0x56140140afa0_0 .net "C", 0 0, L_0x561401437a50; 1 drivers +v0x561401412b80_0 .net "D", 0 0, L_0x561401437af0; 1 drivers +v0x561401412e90_0 .net "E", 0 0, L_0x561401437840; 1 drivers +v0x5614014131a0_0 .net "X", 0 0, L_0x561401437500; 1 drivers +v0x561401413450_0 .net "Y", 0 0, L_0x561401437670; 1 drivers +v0x56140142f5e0_0 .net "Z", 0 0, L_0x5614014377d0; 1 drivers +v0x56140142f6a0_0 .net "nor1", 0 0, L_0x561401437130; 1 drivers +v0x56140142f760_0 .net "nor2", 0 0, L_0x5614014372d0; 1 drivers +v0x56140142f820_0 .net "nor3", 0 0, L_0x5614014373f0; 1 drivers +v0x56140142f8e0_0 .net "or1", 0 0, L_0x561401437600; 1 drivers +v0x56140142f9a0_0 .net "xor1", 0 0, L_0x5614014370c0; 1 drivers +v0x56140142fa60_0 .net "xor2", 0 0, L_0x561401437200; 1 drivers +S_0x56140142fc20 .scope module, "d2u" "dabble" 3 23, 4 1 0, S_0x56140140a900; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x561401437de0 .functor XOR 1, L_0x561401438630, L_0x561401438970, C4<0>, C4<0>; +L_0x561401437e50 .functor NOR 1, L_0x561401438630, L_0x561401438760, C4<0>, C4<0>; +L_0x561401437f10 .functor XOR 1, L_0x561401438630, L_0x561401438840, C4<0>, C4<0>; +L_0x561401437f80 .functor NOR 1, L_0x561401437de0, L_0x561401437f10, C4<0>, C4<0>; +L_0x5614014380c0 .functor NOR 1, L_0x561401437f80, L_0x561401437e50, C4<0>, C4<0>; +L_0x5614014381d0 .functor BUF 1, L_0x5614014380c0, C4<0>, C4<0>, C4<0>; +L_0x5614014382d0 .functor OR 1, L_0x561401437de0, L_0x561401437e50, C4<0>, C4<0>; +L_0x561401438340 .functor NOR 1, L_0x5614014382d0, L_0x561401438840, C4<0>, C4<0>; +L_0x5614014384a0 .functor AND 1, L_0x5614014382d0, L_0x561401437f10, C4<1>, C4<1>; +L_0x561401438510 .functor XOR 1, L_0x5614014380c0, L_0x561401438970, C4<0>, C4<0>; +v0x56140142fef0_0 .net "A", 0 0, L_0x561401438630; 1 drivers +v0x56140142ffb0_0 .net "B", 0 0, L_0x561401438760; 1 drivers +v0x561401430070_0 .net "C", 0 0, L_0x561401438840; 1 drivers +v0x561401430110_0 .net "D", 0 0, L_0x561401438970; 1 drivers +v0x5614014301d0_0 .net "E", 0 0, L_0x561401438510; 1 drivers +v0x5614014302e0_0 .net "X", 0 0, L_0x5614014381d0; 1 drivers +v0x5614014303a0_0 .net "Y", 0 0, L_0x561401438340; 1 drivers +v0x561401430460_0 .net "Z", 0 0, L_0x5614014384a0; 1 drivers +v0x561401430520_0 .net "nor1", 0 0, L_0x561401437e50; 1 drivers +v0x5614014305e0_0 .net "nor2", 0 0, L_0x561401437f80; 1 drivers +v0x5614014306a0_0 .net "nor3", 0 0, L_0x5614014380c0; 1 drivers +v0x561401430760_0 .net "or1", 0 0, L_0x5614014382d0; 1 drivers +v0x561401430820_0 .net "xor1", 0 0, L_0x561401437de0; 1 drivers +v0x5614014308e0_0 .net "xor2", 0 0, L_0x561401437f10; 1 drivers +S_0x561401430aa0 .scope module, "d3v" "dabble" 3 32, 4 1 0, S_0x56140140a900; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x561401438bf0 .functor XOR 1, L_0x5614014393d0, L_0x5614014397a0, C4<0>, C4<0>; +L_0x561401438c60 .functor NOR 1, L_0x5614014393d0, L_0x561401439560, C4<0>, C4<0>; +L_0x561401438d20 .functor XOR 1, L_0x5614014393d0, L_0x561401439600, C4<0>, C4<0>; +L_0x561401438d90 .functor NOR 1, L_0x561401438bf0, L_0x561401438d20, C4<0>, C4<0>; +L_0x561401438ea0 .functor NOR 1, L_0x561401438d90, L_0x561401438c60, C4<0>, C4<0>; +L_0x561401438fb0 .functor BUF 1, L_0x561401438ea0, C4<0>, C4<0>, C4<0>; +L_0x561401439070 .functor OR 1, L_0x561401438bf0, L_0x561401438c60, C4<0>, C4<0>; +L_0x5614014390e0 .functor NOR 1, L_0x561401439070, L_0x561401439600, C4<0>, C4<0>; +L_0x561401439240 .functor AND 1, L_0x561401439070, L_0x561401438d20, C4<1>, C4<1>; +L_0x5614014392b0 .functor XOR 1, L_0x561401438ea0, L_0x5614014397a0, C4<0>, C4<0>; +v0x561401430d50_0 .net "A", 0 0, L_0x5614014393d0; 1 drivers +v0x561401430e10_0 .net "B", 0 0, L_0x561401439560; 1 drivers +v0x561401430ed0_0 .net "C", 0 0, L_0x561401439600; 1 drivers +v0x561401430f70_0 .net "D", 0 0, L_0x5614014397a0; 1 drivers +v0x561401431030_0 .net "E", 0 0, L_0x5614014392b0; 1 drivers +v0x561401431140_0 .net "X", 0 0, L_0x561401438fb0; 1 drivers +v0x561401431200_0 .net "Y", 0 0, L_0x5614014390e0; 1 drivers +v0x5614014312c0_0 .net "Z", 0 0, L_0x561401439240; 1 drivers +v0x561401431380_0 .net "nor1", 0 0, L_0x561401438c60; 1 drivers +v0x5614014314d0_0 .net "nor2", 0 0, L_0x561401438d90; 1 drivers +v0x561401431590_0 .net "nor3", 0 0, L_0x561401438ea0; 1 drivers +v0x561401431650_0 .net "or1", 0 0, L_0x561401439070; 1 drivers +v0x561401431710_0 .net "xor1", 0 0, L_0x561401438bf0; 1 drivers +v0x5614014317d0_0 .net "xor2", 0 0, L_0x561401438d20; 1 drivers +S_0x561401431990 .scope module, "d4w" "dabble" 3 41, 4 1 0, S_0x56140140a900; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x561401439730 .functor XOR 1, L_0x561401436c90, L_0x56140143a360, C4<0>, C4<0>; +L_0x561401439a00 .functor NOR 1, L_0x561401436c90, L_0x56140143a190, C4<0>, C4<0>; +L_0x561401439b00 .functor XOR 1, L_0x561401436c90, L_0x56140143a230, C4<0>, C4<0>; +L_0x561401439b70 .functor NOR 1, L_0x561401439730, L_0x561401439b00, C4<0>, C4<0>; +L_0x561401439c60 .functor NOR 1, L_0x561401439b70, L_0x561401439a00, C4<0>, C4<0>; +L_0x561401439d70 .functor BUF 1, L_0x561401439c60, C4<0>, C4<0>, C4<0>; +L_0x561401439e30 .functor OR 1, L_0x561401439730, L_0x561401439a00, C4<0>, C4<0>; +L_0x561401439ea0 .functor NOR 1, L_0x561401439e30, L_0x56140143a230, C4<0>, C4<0>; +L_0x56140143a000 .functor AND 1, L_0x561401439e30, L_0x561401439b00, C4<1>, C4<1>; +L_0x56140143a070 .functor XOR 1, L_0x561401439c60, L_0x56140143a360, C4<0>, C4<0>; +v0x561401431c40_0 .net "A", 0 0, L_0x561401436c90; alias, 1 drivers +v0x561401431d20_0 .net "B", 0 0, L_0x56140143a190; 1 drivers +v0x561401431de0_0 .net "C", 0 0, L_0x56140143a230; 1 drivers +v0x561401431e80_0 .net "D", 0 0, L_0x56140143a360; 1 drivers +v0x561401431f40_0 .net "E", 0 0, L_0x56140143a070; 1 drivers +v0x561401432050_0 .net "X", 0 0, L_0x561401439d70; 1 drivers +v0x561401432110_0 .net "Y", 0 0, L_0x561401439ea0; 1 drivers +v0x5614014321d0_0 .net "Z", 0 0, L_0x56140143a000; 1 drivers +v0x561401432290_0 .net "nor1", 0 0, L_0x561401439a00; 1 drivers +v0x5614014323e0_0 .net "nor2", 0 0, L_0x561401439b70; 1 drivers +v0x5614014324a0_0 .net "nor3", 0 0, L_0x561401439c60; 1 drivers +v0x561401432560_0 .net "or1", 0 0, L_0x561401439e30; 1 drivers +v0x561401432620_0 .net "xor1", 0 0, L_0x561401439730; 1 drivers +v0x5614014326e0_0 .net "xor2", 0 0, L_0x561401439b00; 1 drivers +S_0x5614014328a0 .scope module, "d5x" "dabble" 3 50, 4 1 0, S_0x56140140a900; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x56140143a450 .functor XOR 1, L_0x56140143acc0, L_0x56140143adf0, C4<0>, C4<0>; +L_0x56140143a4c0 .functor NOR 1, L_0x56140143acc0, L_0x56140143ae90, C4<0>, C4<0>; +L_0x56140143a580 .functor XOR 1, L_0x56140143acc0, L_0x56140143af30, C4<0>, C4<0>; +L_0x56140143a620 .functor NOR 1, L_0x56140143a450, L_0x56140143a580, C4<0>, C4<0>; +L_0x56140143a790 .functor NOR 1, L_0x56140143a620, L_0x56140143a4c0, C4<0>, C4<0>; +L_0x56140143a8a0 .functor BUF 1, L_0x56140143a790, C4<0>, C4<0>, C4<0>; +L_0x56140143a960 .functor OR 1, L_0x56140143a450, L_0x56140143a4c0, C4<0>, C4<0>; +L_0x56140143a9d0 .functor NOR 1, L_0x56140143a960, L_0x56140143af30, C4<0>, C4<0>; +L_0x56140143ab30 .functor AND 1, L_0x56140143a960, L_0x56140143a580, C4<1>, C4<1>; +L_0x56140143aba0 .functor XOR 1, L_0x56140143a790, L_0x56140143adf0, C4<0>, C4<0>; +v0x561401432ba0_0 .net "A", 0 0, L_0x56140143acc0; 1 drivers +v0x561401432c80_0 .net "B", 0 0, L_0x56140143ae90; 1 drivers +v0x561401432d40_0 .net "C", 0 0, L_0x56140143af30; 1 drivers +v0x561401432de0_0 .net "D", 0 0, L_0x56140143adf0; 1 drivers +v0x561401432ea0_0 .net "E", 0 0, L_0x56140143aba0; 1 drivers +v0x561401432fb0_0 .net "X", 0 0, L_0x56140143a8a0; 1 drivers +v0x561401433070_0 .net "Y", 0 0, L_0x56140143a9d0; 1 drivers +v0x561401433130_0 .net "Z", 0 0, L_0x56140143ab30; 1 drivers +v0x5614014331f0_0 .net "nor1", 0 0, L_0x56140143a4c0; 1 drivers +v0x561401433340_0 .net "nor2", 0 0, L_0x56140143a620; 1 drivers +v0x561401433400_0 .net "nor3", 0 0, L_0x56140143a790; 1 drivers +v0x5614014334c0_0 .net "or1", 0 0, L_0x56140143a960; 1 drivers +v0x561401433580_0 .net "xor1", 0 0, L_0x56140143a450; 1 drivers +v0x561401433640_0 .net "xor2", 0 0, L_0x56140143a580; 1 drivers +S_0x561401433800 .scope module, "d6y" "dabble" 3 59, 4 1 0, S_0x56140140a900; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x56140143b280 .functor XOR 1, L_0x56140143bac0, L_0x56140143be00, C4<0>, C4<0>; +L_0x56140143b2f0 .functor NOR 1, L_0x56140143bac0, L_0x56140143bbf0, C4<0>, C4<0>; +L_0x56140143b3b0 .functor XOR 1, L_0x56140143bac0, L_0x56140143bd60, C4<0>, C4<0>; +L_0x56140143b420 .functor NOR 1, L_0x56140143b280, L_0x56140143b3b0, C4<0>, C4<0>; +L_0x56140143b590 .functor NOR 1, L_0x56140143b420, L_0x56140143b2f0, C4<0>, C4<0>; +L_0x56140143b6a0 .functor BUF 1, L_0x56140143b590, C4<0>, C4<0>, C4<0>; +L_0x56140143b760 .functor OR 1, L_0x56140143b280, L_0x56140143b2f0, C4<0>, C4<0>; +L_0x56140143b7d0 .functor NOR 1, L_0x56140143b760, L_0x56140143bd60, C4<0>, C4<0>; +L_0x56140143b930 .functor AND 1, L_0x56140143b760, L_0x56140143b3b0, C4<1>, C4<1>; +L_0x56140143b9a0 .functor XOR 1, L_0x56140143b590, L_0x56140143be00, C4<0>, C4<0>; +v0x561401433ab0_0 .net "A", 0 0, L_0x56140143bac0; 1 drivers +v0x561401433b90_0 .net "B", 0 0, L_0x56140143bbf0; 1 drivers +v0x561401433c50_0 .net "C", 0 0, L_0x56140143bd60; 1 drivers +v0x561401433cf0_0 .net "D", 0 0, L_0x56140143be00; 1 drivers +v0x561401433db0_0 .net "E", 0 0, L_0x56140143b9a0; 1 drivers +v0x561401433ec0_0 .net "X", 0 0, L_0x56140143b6a0; 1 drivers +v0x561401433f80_0 .net "Y", 0 0, L_0x56140143b7d0; 1 drivers +v0x561401434040_0 .net "Z", 0 0, L_0x56140143b930; 1 drivers +v0x561401434100_0 .net "nor1", 0 0, L_0x56140143b2f0; 1 drivers +v0x561401434250_0 .net "nor2", 0 0, L_0x56140143b420; 1 drivers +v0x561401434310_0 .net "nor3", 0 0, L_0x56140143b590; 1 drivers +v0x5614014343d0_0 .net "or1", 0 0, L_0x56140143b760; 1 drivers +v0x561401434490_0 .net "xor1", 0 0, L_0x56140143b280; 1 drivers +v0x561401434550_0 .net "xor2", 0 0, L_0x56140143b3b0; 1 drivers +S_0x561401434710 .scope module, "d7z" "dabble" 3 68, 4 1 0, S_0x56140140a900; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +L_0x56140143bfd0 .functor XOR 1, L_0x56140143c840, L_0x56140143cc30, C4<0>, C4<0>; +L_0x56140143c040 .functor NOR 1, L_0x56140143c840, L_0x56140143c970, C4<0>, C4<0>; +L_0x56140143c100 .functor XOR 1, L_0x56140143c840, L_0x56140143cb90, C4<0>, C4<0>; +L_0x56140143c1a0 .functor NOR 1, L_0x56140143bfd0, L_0x56140143c100, C4<0>, C4<0>; +L_0x56140143c310 .functor NOR 1, L_0x56140143c1a0, L_0x56140143c040, C4<0>, C4<0>; +L_0x56140143c420 .functor BUF 1, L_0x56140143c310, C4<0>, C4<0>, C4<0>; +L_0x56140143c4e0 .functor OR 1, L_0x56140143bfd0, L_0x56140143c040, C4<0>, C4<0>; +L_0x56140143c550 .functor NOR 1, L_0x56140143c4e0, L_0x56140143cb90, C4<0>, C4<0>; +L_0x56140143c6b0 .functor AND 1, L_0x56140143c4e0, L_0x56140143c100, C4<1>, C4<1>; +L_0x56140143c720 .functor XOR 1, L_0x56140143c310, L_0x56140143cc30, C4<0>, C4<0>; +v0x5614014349c0_0 .net "A", 0 0, L_0x56140143c840; 1 drivers +v0x561401434aa0_0 .net "B", 0 0, L_0x56140143c970; 1 drivers +v0x561401434b60_0 .net "C", 0 0, L_0x56140143cb90; 1 drivers +v0x561401434c00_0 .net "D", 0 0, L_0x56140143cc30; 1 drivers +v0x561401434cc0_0 .net "E", 0 0, L_0x56140143c720; 1 drivers +v0x561401434dd0_0 .net "X", 0 0, L_0x56140143c420; 1 drivers +v0x561401434e90_0 .net "Y", 0 0, L_0x56140143c550; 1 drivers +v0x561401434f50_0 .net "Z", 0 0, L_0x56140143c6b0; 1 drivers +v0x561401435010_0 .net "nor1", 0 0, L_0x56140143c040; 1 drivers +v0x561401435160_0 .net "nor2", 0 0, L_0x56140143c1a0; 1 drivers +v0x561401435220_0 .net "nor3", 0 0, L_0x56140143c310; 1 drivers +v0x5614014352e0_0 .net "or1", 0 0, L_0x56140143c4e0; 1 drivers +v0x5614014353a0_0 .net "xor1", 0 0, L_0x56140143bfd0; 1 drivers +v0x561401435460_0 .net "xor2", 0 0, L_0x56140143c100; 1 drivers + .scope S_0x56140140d330; +T_0 ; + %vpi_call 2 14 "$monitor", "Time: %0t | Binary: %b | BCD: %b (Hundreds: %d, Tens: %d, Ones: %d)", $time, v0x561401436b30_0, v0x561401436a70_0, &PV, &PV, &PV {0 0 0}; + %vpi_call 2 16 "$dumpfile", "BinaryToBCD.vcd" {0 0 0}; + %vpi_call 2 17 "$dumpvars" {0 0 0}; + %pushi/vec4 0, 0, 8; + %store/vec4 v0x561401436b30_0, 0, 8; + %delay 10, 0; + %pushi/vec4 10, 0, 8; + %store/vec4 v0x561401436b30_0, 0, 8; + %delay 10, 0; + %pushi/vec4 42, 0, 8; + %store/vec4 v0x561401436b30_0, 0, 8; + %delay 10, 0; + %pushi/vec4 99, 0, 8; + %store/vec4 v0x561401436b30_0, 0, 8; + %delay 10, 0; + %pushi/vec4 153, 0, 8; + %store/vec4 v0x561401436b30_0, 0, 8; + %delay 10, 0; + %pushi/vec4 255, 0, 8; + %store/vec4 v0x561401436b30_0, 0, 8; + %delay 10, 0; + %vpi_call 2 38 "$finish" {0 0 0}; + %end; + .thread T_0; +# The file index is used to find the file name in the following table. +:file_names 5; + "N/A"; + ""; + "BinaryToBCDTB.v"; + "BinaryToBCD.v"; + "dabble.v"; diff --git a/project0.2/dabble b/project0.2/dabble new file mode 100644 index 0000000..63651c8 --- /dev/null +++ b/project0.2/dabble @@ -0,0 +1,52 @@ +#! /usr/bin/vvp +:ivl_version "11.0 (stable)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision + 0; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/system.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_sys.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi"; +:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi"; +S_0x5621d16e7df0 .scope module, "dabble" "dabble" 2 1; + .timescale 0 0; + .port_info 0 /INPUT 1 "A"; + .port_info 1 /INPUT 1 "B"; + .port_info 2 /INPUT 1 "C"; + .port_info 3 /INPUT 1 "D"; + .port_info 4 /OUTPUT 1 "X"; + .port_info 5 /OUTPUT 1 "Y"; + .port_info 6 /OUTPUT 1 "Z"; + .port_info 7 /OUTPUT 1 "E"; +o0x7f27d282c018 .functor BUFZ 1, C4; HiZ drive +o0x7f27d282c0a8 .functor BUFZ 1, C4; HiZ drive +L_0x5621d1732e70 .functor XOR 1, o0x7f27d282c018, o0x7f27d282c0a8, C4<0>, C4<0>; +o0x7f27d282c048 .functor BUFZ 1, C4; HiZ drive +L_0x5621d1732f60 .functor NOR 1, o0x7f27d282c018, o0x7f27d282c048, C4<0>, C4<0>; +o0x7f27d282c078 .functor BUFZ 1, C4; HiZ drive +L_0x5621d1733000 .functor XOR 1, o0x7f27d282c018, o0x7f27d282c078, C4<0>, C4<0>; +L_0x5621d17330d0 .functor NOR 1, L_0x5621d1732e70, L_0x5621d1733000, C4<0>, C4<0>; +L_0x5621d1733240 .functor NOR 1, L_0x5621d17330d0, L_0x5621d1732f60, C4<0>, C4<0>; +L_0x5621d1733350 .functor BUF 1, L_0x5621d1733240, C4<0>, C4<0>, C4<0>; +L_0x5621d1733450 .functor OR 1, L_0x5621d1732e70, L_0x5621d1732f60, C4<0>, C4<0>; +L_0x5621d17334c0 .functor NOR 1, L_0x5621d1733450, o0x7f27d282c078, C4<0>, C4<0>; +L_0x5621d1733620 .functor AND 1, L_0x5621d1733450, L_0x5621d1733000, C4<1>, C4<1>; +L_0x5621d1733690 .functor XOR 1, L_0x5621d1733240, o0x7f27d282c0a8, C4<0>, C4<0>; +v0x5621d16e8050_0 .net "A", 0 0, o0x7f27d282c018; 0 drivers +v0x5621d1732380_0 .net "B", 0 0, o0x7f27d282c048; 0 drivers +v0x5621d1732440_0 .net "C", 0 0, o0x7f27d282c078; 0 drivers +v0x5621d17324e0_0 .net "D", 0 0, o0x7f27d282c0a8; 0 drivers +v0x5621d17325a0_0 .net "E", 0 0, L_0x5621d1733690; 1 drivers +v0x5621d17326b0_0 .net "X", 0 0, L_0x5621d1733350; 1 drivers +v0x5621d1732770_0 .net "Y", 0 0, L_0x5621d17334c0; 1 drivers +v0x5621d1732830_0 .net "Z", 0 0, L_0x5621d1733620; 1 drivers +v0x5621d17328f0_0 .net "nor1", 0 0, L_0x5621d1732f60; 1 drivers +v0x5621d17329b0_0 .net "nor2", 0 0, L_0x5621d17330d0; 1 drivers +v0x5621d1732a70_0 .net "nor3", 0 0, L_0x5621d1733240; 1 drivers +v0x5621d1732b30_0 .net "or1", 0 0, L_0x5621d1733450; 1 drivers +v0x5621d1732bf0_0 .net "xor1", 0 0, L_0x5621d1732e70; 1 drivers +v0x5621d1732cb0_0 .net "xor2", 0 0, L_0x5621d1733000; 1 drivers +# The file index is used to find the file name in the following table. +:file_names 3; + "N/A"; + ""; + "dabble.v"; diff --git a/project0.2/dabble.v b/project0.2/dabble.v new file mode 100644 index 0000000..f93d023 --- /dev/null +++ b/project0.2/dabble.v @@ -0,0 +1,22 @@ +module dabble ( + input A, B, C, D, + output X, Y, Z, E +); + +wire xor1, nor1, xor2, nor2, nor3, or1; + +xor xo1 (xor1, A, D); +nor no1 (nor1, A, B); +xor xo2 (xor2, A, C); + +nor no2 (nor2, xor1, xor2); + +nor no3 (nor3, nor2, nor1); +buf bu1 (X, nor3); +or o1 (or1, xor1, nor1); + +nor no4 (Y, or1, C); +and an1 (Z, or1, xor2); +xor xo3 (E, nor3, D); + +endmodule