diff --git a/gowin/bttn/bttn.gprj.user b/gowin/bttn/bttn.gprj.user
index 61632d4..1ad2da8 100644
--- a/gowin/bttn/bttn.gprj.user
+++ b/gowin/bttn/bttn.gprj.user
@@ -7,6 +7,8 @@
+
+
@@ -20,5 +22,6 @@
- 000000ff00000001fd00000002000000000000018e0000051efc0200000001fc000000630000051e0000000000fffffffaffffffff0200000003fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000000000000000fb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff00000000000000000000000300000ab000000145fc0100000001fc0000000000000ab0000000e700fffffffa000000000100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000009e00fffffffb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000e700ffffff0000091a0000051e00000004000000040000000800000008fc000000010000000200000003000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000bdffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c007301000001a5ffffffff0000000000000000
+ 000000ff00000001fd00000002000000000000018e0000025dfc0200000001fc000000370000025d0000000000fffffffaffffffff0200000004fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000000000000000fb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff0000000000000000fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000000000030000078000000145fc0100000001fc00000000000007800000009b00fffffffa000000000100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100fffffffb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff0000009b00ffffff000005ee0000025d00000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000adffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c0073010000017fffffffff0000000000000000000000280043006f00720065002e0054006f006f006c006200610072002e00500072006f00630065007300730100000245ffffffff0000000000000000
+
diff --git a/gowin/bttn/impl/bttn_process_config.json b/gowin/bttn/impl/bttn_process_config.json
index 998d428..a603a52 100644
--- a/gowin/bttn/impl/bttn_process_config.json
+++ b/gowin/bttn/impl/bttn_process_config.json
@@ -4,6 +4,7 @@
"CPU" : false,
"CRC_CHECK" : true,
"Clock_Route_Order" : 0,
+ "Convert_SDP32_36_to_SDP16_18" : true,
"Correct_Hold_Violation" : true,
"DONE" : false,
"DOWNLOAD_SPEED" : "default",
@@ -18,7 +19,7 @@
"EXTERNAL_MASTER_CONFIG_CLOCK" : false,
"Enable_DSRM" : false,
"FORMAT" : "binary",
- "FREQUENCY_DIVIDER" : "",
+ "FREQUENCY_DIVIDER" : "1",
"Generate_Constraint_File_of_Ports" : false,
"Generate_IBIS_File" : false,
"Generate_Plain_Text_Timing_Report" : false,
@@ -31,6 +32,8 @@
"HOTBOOT" : false,
"I2C" : false,
"I2C_SLAVE_ADDR" : "00",
+ "INCREMENTAL_PLACE_AND_ROUTING" : "0",
+ "INCREMENTAL_PLACE_ONLY" : "0",
"IncludePath" : [
],
@@ -78,6 +81,7 @@
"TopModule" : "",
"USERCODE" : "default",
"Unused_Pin" : "As_input_tri_stated_with_pull_up",
+ "VCC" : "1.0",
"VCCAUX" : 3.3,
"VCCX" : "3.3",
"VHDL_Standard" : "VHDL_Std_1993",
diff --git a/gowin/bttn/impl/temp/rtl_parser.result b/gowin/bttn/impl/temp/rtl_parser.result
index 23e785f..447247a 100644
--- a/gowin/bttn/impl/temp/rtl_parser.result
+++ b/gowin/bttn/impl/temp/rtl_parser.result
@@ -1,143 +1,143 @@
[
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
"InstLine" : 1,
"InstName" : "bttn",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
"ModuleLine" : 1,
"ModuleName" : "bttn",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
"InstLine" : 10,
"InstName" : "a1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
"ModuleLine" : 1,
"ModuleName" : "ALU",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
"InstLine" : 18,
"InstName" : "opCd",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/opCode.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/opCode.v",
"ModuleLine" : 1,
"ModuleName" : "opCode"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
"InstLine" : 20,
"InstName" : "aU",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
"ModuleLine" : 1,
"ModuleName" : "arithmeticUnit",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
"InstLine" : 13,
"InstName" : "a1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"ModuleLine" : 1,
"ModuleName" : "addition",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 11,
"InstName" : "f0",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 12,
"InstName" : "f1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 13,
"InstName" : "f2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 14,
"InstName" : "f3",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
@@ -146,112 +146,112 @@
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
"InstLine" : 14,
"InstName" : "s1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
"ModuleLine" : 1,
"ModuleName" : "subtraction",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
"InstLine" : 11,
"InstName" : "f0",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "fullsubtraction",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 8,
"InstName" : "hf1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 9,
"InstName" : "hf2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
"InstLine" : 12,
"InstName" : "f1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "fullsubtraction",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 8,
"InstName" : "hf1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 9,
"InstName" : "hf2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
"InstLine" : 13,
"InstName" : "f2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "fullsubtraction",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 8,
"InstName" : "hf1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 9,
"InstName" : "hf2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
"InstLine" : 14,
"InstName" : "f3",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "fullsubtraction",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 8,
"InstName" : "hf1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"InstLine" : 9,
"InstName" : "hf2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"ModuleLine" : 1,
"ModuleName" : "halfsubtraction"
}
@@ -262,128 +262,128 @@
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
"InstLine" : 21,
"InstName" : "lU",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/logicUnit.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/logicUnit.v",
"ModuleLine" : 1,
"ModuleName" : "logicUnit"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
"InstLine" : 22,
"InstName" : "mU",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
"ModuleLine" : 1,
"ModuleName" : "multiplier",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
"InstLine" : 26,
"InstName" : "add0",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"ModuleLine" : 1,
"ModuleName" : "addition",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 11,
"InstName" : "f0",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 12,
"InstName" : "f1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 13,
"InstName" : "f2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 14,
"InstName" : "f3",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
@@ -392,112 +392,112 @@
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
"InstLine" : 42,
"InstName" : "add1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"ModuleLine" : 1,
"ModuleName" : "addition",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 11,
"InstName" : "f0",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 12,
"InstName" : "f1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 13,
"InstName" : "f2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 14,
"InstName" : "f3",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
@@ -506,112 +506,112 @@
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
"InstLine" : 58,
"InstName" : "add2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"ModuleLine" : 1,
"ModuleName" : "addition",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 11,
"InstName" : "f0",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 12,
"InstName" : "f1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 13,
"InstName" : "f2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"InstLine" : 14,
"InstName" : "f3",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"ModuleLine" : 1,
"ModuleName" : "fulladder",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 8,
"InstName" : "h1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"InstLine" : 9,
"InstName" : "h2",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"ModuleLine" : 1,
"ModuleName" : "halfadder"
}
@@ -622,66 +622,66 @@
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
"InstLine" : 76,
"InstName" : "btod1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"ModuleLine" : 1,
"ModuleName" : "BinaryToBCD",
"SubInsts" : [
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"InstLine" : 14,
"InstName" : "d1t",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"ModuleLine" : 1,
"ModuleName" : "dabble"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"InstLine" : 23,
"InstName" : "d2u",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"ModuleLine" : 1,
"ModuleName" : "dabble"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"InstLine" : 32,
"InstName" : "d3v",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"ModuleLine" : 1,
"ModuleName" : "dabble"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"InstLine" : 41,
"InstName" : "d4w",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"ModuleLine" : 1,
"ModuleName" : "dabble"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"InstLine" : 50,
"InstName" : "d5x",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"ModuleLine" : 1,
"ModuleName" : "dabble"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"InstLine" : 59,
"InstName" : "d6y",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"ModuleLine" : 1,
"ModuleName" : "dabble"
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"InstLine" : 68,
"InstName" : "d7z",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"ModuleLine" : 1,
"ModuleName" : "dabble"
}
@@ -690,10 +690,10 @@
]
},
{
- "InstFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
+ "InstFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
"InstLine" : 11,
"InstName" : "s1",
- "ModuleFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/selector.v",
+ "ModuleFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/selector.v",
"ModuleLine" : 1,
"ModuleName" : "selector"
}
diff --git a/gowin/bttn/impl/temp/rtl_parser_arg.json b/gowin/bttn/impl/temp/rtl_parser_arg.json
index dc256ec..074f314 100644
--- a/gowin/bttn/impl/temp/rtl_parser_arg.json
+++ b/gowin/bttn/impl/temp/rtl_parser_arg.json
@@ -2,63 +2,63 @@
"Device" : "GW2A-18C",
"Files" : [
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/ALU.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/ALU.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/BinaryToBCD.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/addition.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/addition.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/arithmeticUnit.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/bttn.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/bttn.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/dabble.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/dabble.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fulladder.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fulladder.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/fullsubtraction.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/fullsubtraction.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfadder.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfadder.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/halfsubtraction.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/halfsubtraction.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/logicUnit.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/logicUnit.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/multiplier.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/multiplier.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/opCode.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/opCode.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/selector.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/selector.v",
"Type" : "verilog"
},
{
- "Path" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/src/subtraction.v",
+ "Path" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/src/subtraction.v",
"Type" : "verilog"
}
],
@@ -66,7 +66,7 @@
],
"LoopLimit" : 2000,
- "ResultFile" : "//wsl.localhost/Debian/home/koray/code/verilog/gowin/bttn/impl/temp/rtl_parser.result",
+ "ResultFile" : "//wsl.localhost/Debian/home/akoray/code/verilog/gowin/bttn/impl/temp/rtl_parser.result",
"Top" : "",
"VerilogStd" : "verilog_2001",
"VhdlStd" : "vhdl_93"
diff --git a/spartanTest/ALU b/spartanTest/ALU
deleted file mode 100644
index 6c34240..0000000
--- a/spartanTest/ALU
+++ /dev/null
@@ -1,2109 +0,0 @@
-#! /usr/bin/vvp
-:ivl_version "11.0 (stable)";
-:ivl_delay_selection "TYPICAL";
-:vpi_time_precision + 0;
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/system.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_sys.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi";
-S_0x55e366e13060 .scope module, "ALUtb" "ALUtb" 2 1;
- .timescale 0 0;
-v0x55e366efdb20_0 .var "A", 3 0;
-v0x55e366efdc00_0 .var "B", 3 0;
-v0x55e366efdcc0_0 .var "CarryIN", 0 0;
-v0x55e366efdd90_0 .net "CarryOUT", 0 0, L_0x55e366f06460; 1 drivers
-v0x55e366efde30_0 .net "bcd", 11 0, L_0x55e366f29560; 1 drivers
-v0x55e366efdf70_0 .var "opCodeA", 2 0;
-v0x55e366efe080_0 .net "overflow", 0 0, L_0x55e366f06570; 1 drivers
-S_0x55e366eb6430 .scope module, "uut" "ALU" 2 9, 3 1 0, S_0x55e366e13060;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /INPUT 1 "CarryIN";
- .port_info 3 /INPUT 3 "opCodeA";
- .port_info 4 /OUTPUT 12 "bcd";
- .port_info 5 /OUTPUT 1 "CarryOUT";
- .port_info 6 /OUTPUT 1 "overflow";
-L_0x55e366f184d0 .functor OR 1, L_0x55e366f18540, L_0x55e366f18630, C4<0>, C4<0>;
-L_0x55e366f18720 .functor OR 1, L_0x55e366f18790, L_0x55e366f18910, C4<0>, C4<0>;
-L_0x55e366f18a40 .functor OR 1, L_0x55e366f18ab0, L_0x55e366f18b50, C4<0>, C4<0>;
-L_0x55e366f18e20 .functor OR 1, L_0x55e366f18ee0, L_0x55e366f18fd0, C4<0>, C4<0>;
-L_0x55e366f19070 .functor OR 1, L_0x55e366f190e0, L_0x55e366f19290, C4<0>, C4<0>;
-L_0x55e366f19380 .functor OR 1, L_0x55e366f193f0, L_0x55e366f19560, C4<0>, C4<0>;
-L_0x55e366f19220 .functor OR 1, L_0x55e366f196e0, L_0x55e366f198a0, C4<0>, C4<0>;
-L_0x55e366f19b70 .functor OR 1, L_0x55e366f19c80, L_0x55e366f19d70, C4<0>, C4<0>;
-L_0x55e366f19f10 .functor AND 1, L_0x55e366f19ad0, L_0x55e366f19fd0, C4<1>, C4<1>;
-L_0x55e366f1a180 .functor AND 1, L_0x55e366f1a1f0, L_0x55e366f1a290, C4<1>, C4<1>;
-L_0x55e366f1a450 .functor AND 1, L_0x55e366f1a4c0, L_0x55e366f1a560, C4<1>, C4<1>;
-L_0x55e366f1a8c0 .functor AND 1, L_0x55e366f1a9f0, L_0x55e366f1abd0, C4<1>, C4<1>;
-L_0x55e366f1acc0 .functor AND 1, L_0x55e366f1ad30, L_0x55e366f1af20, C4<1>, C4<1>;
-L_0x55e366f1b010 .functor AND 1, L_0x55e366f1b100, L_0x55e366f1b300, C4<1>, C4<1>;
-L_0x55e366f1a980 .functor AND 1, L_0x55e366f1b3f0, L_0x55e366f1b5b0, C4<1>, C4<1>;
-L_0x55e366f1b490 .functor AND 1, L_0x55e366f1b9f0, L_0x55e366f1ba90, C4<1>, C4<1>;
-L_0x55e366f1bcc0 .functor AND 1, L_0x55e366f1bd30, L_0x55e366f1be20, C4<1>, C4<1>;
-L_0x55e366f1c060 .functor AND 1, L_0x55e366f1c170, L_0x55e366f1c260, C4<1>, C4<1>;
-L_0x55e366f1c4b0 .functor AND 1, L_0x55e366f1c520, L_0x55e366f1c5c0, C4<1>, C4<1>;
-L_0x55e366f1c820 .functor AND 1, L_0x55e366f1c0d0, L_0x55e366f1c990, C4<1>, C4<1>;
-L_0x55e366f1cc00 .functor AND 1, L_0x55e366f1cc70, L_0x55e366f1cd60, C4<1>, C4<1>;
-L_0x55e366f1cfe0 .functor AND 1, L_0x55e366f1d110, L_0x55e366f1d200, C4<1>, C4<1>;
-L_0x55e366f1d490 .functor AND 1, L_0x55e366f1d500, L_0x55e366f1d5f0, C4<1>, C4<1>;
-L_0x55e366f1dbb0 .functor AND 1, L_0x55e366f1dd40, L_0x55e366f1dff0, C4<1>, C4<1>;
-L_0x55e366f1e0e0 .functor OR 1, L_0x55e366f1e150, L_0x55e366f1e460, C4<0>, C4<0>;
-L_0x55e366f1e5a0 .functor OR 1, L_0x55e366f1e6f0, L_0x55e366f1e9c0, C4<0>, C4<0>;
-L_0x55e366f1eab0 .functor OR 1, L_0x55e366f1eb20, L_0x55e366f1ee00, C4<0>, C4<0>;
-L_0x55e366f1f270 .functor OR 1, L_0x55e366f1f420, L_0x55e366f1f510, C4<0>, C4<0>;
-L_0x55e366f1f810 .functor OR 1, L_0x55e366f1f880, L_0x55e366f1f9c0, C4<0>, C4<0>;
-L_0x55e366f1fd20 .functor OR 1, L_0x55e366f1fe90, L_0x55e366f1ff80, C4<0>, C4<0>;
-L_0x55e366f202a0 .functor OR 1, L_0x55e366f20310, L_0x55e366f20490, C4<0>, C4<0>;
-L_0x55e366f20940 .functor OR 1, L_0x55e366f20b10, L_0x55e366f20e50, C4<0>, C4<0>;
-L_0x55e366f20f40 .functor OR 1, L_0x55e366f20fb0, L_0x55e366f21350, C4<0>, C4<0>;
-L_0x55e366f21490 .functor OR 1, L_0x55e366f21620, L_0x55e366f21980, C4<0>, C4<0>;
-L_0x55e366f21a70 .functor OR 1, L_0x55e366f21ae0, L_0x55e366f21ee0, C4<0>, C4<0>;
-L_0x55e366f22010 .functor OR 1, L_0x55e366f21500, L_0x55e366f22440, C4<0>, C4<0>;
-L_0x7f547236c330 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f224e0 .functor OR 1, L_0x7f547236c330, L_0x55e366f225a0, C4<0>, C4<0>;
-L_0x7f547236c378 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f22930 .functor OR 1, L_0x7f547236c378, L_0x55e366f22b30, C4<0>, C4<0>;
-L_0x7f547236c3c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f22c20 .functor OR 1, L_0x7f547236c3c0, L_0x55e366f22ce0, C4<0>, C4<0>;
-L_0x7f547236c408 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f233f0 .functor OR 1, L_0x7f547236c408, L_0x55e366f23650, C4<0>, C4<0>;
-v0x55e366ef5260_0 .net "A", 3 0, v0x55e366efdb20_0; 1 drivers
-v0x55e366ef5320_0 .net "B", 3 0, v0x55e366efdc00_0; 1 drivers
-v0x55e366ef53e0_0 .net "CarryIN", 0 0, v0x55e366efdcc0_0; 1 drivers
-v0x55e366ef5480_0 .net "CarryOUT", 0 0, L_0x55e366f06460; alias, 1 drivers
-v0x55e366ef5550_0 .net "Y", 7 0, L_0x55e366f23080; 1 drivers
-v0x55e366ef55f0_0 .net *"_ivl_10", 0 0, L_0x55e366f18720; 1 drivers
-v0x55e366ef5690_0 .net *"_ivl_101", 0 0, L_0x55e366f1b9f0; 1 drivers
-v0x55e366ef5770_0 .net *"_ivl_103", 0 0, L_0x55e366f1ba90; 1 drivers
-v0x55e366ef5850_0 .net *"_ivl_104", 0 0, L_0x55e366f1bcc0; 1 drivers
-v0x55e366ef5930_0 .net *"_ivl_107", 0 0, L_0x55e366f1bd30; 1 drivers
-v0x55e366ef5a10_0 .net *"_ivl_109", 0 0, L_0x55e366f1be20; 1 drivers
-v0x55e366ef5af0_0 .net *"_ivl_110", 0 0, L_0x55e366f1c060; 1 drivers
-v0x55e366ef5bd0_0 .net *"_ivl_113", 0 0, L_0x55e366f1c170; 1 drivers
-v0x55e366ef5cb0_0 .net *"_ivl_115", 0 0, L_0x55e366f1c260; 1 drivers
-v0x55e366ef5d90_0 .net *"_ivl_116", 0 0, L_0x55e366f1c4b0; 1 drivers
-v0x55e366ef5e70_0 .net *"_ivl_119", 0 0, L_0x55e366f1c520; 1 drivers
-v0x55e366ef5f50_0 .net *"_ivl_121", 0 0, L_0x55e366f1c5c0; 1 drivers
-v0x55e366ef6140_0 .net *"_ivl_122", 0 0, L_0x55e366f1c820; 1 drivers
-v0x55e366ef6220_0 .net *"_ivl_125", 0 0, L_0x55e366f1c0d0; 1 drivers
-v0x55e366ef6300_0 .net *"_ivl_127", 0 0, L_0x55e366f1c990; 1 drivers
-v0x55e366ef63e0_0 .net *"_ivl_128", 0 0, L_0x55e366f1cc00; 1 drivers
-v0x55e366ef64c0_0 .net *"_ivl_13", 0 0, L_0x55e366f18790; 1 drivers
-v0x55e366ef65a0_0 .net *"_ivl_131", 0 0, L_0x55e366f1cc70; 1 drivers
-v0x55e366ef6680_0 .net *"_ivl_133", 0 0, L_0x55e366f1cd60; 1 drivers
-v0x55e366ef6760_0 .net *"_ivl_134", 0 0, L_0x55e366f1cfe0; 1 drivers
-v0x55e366ef6840_0 .net *"_ivl_137", 0 0, L_0x55e366f1d110; 1 drivers
-v0x55e366ef6920_0 .net *"_ivl_139", 0 0, L_0x55e366f1d200; 1 drivers
-v0x55e366ef6a00_0 .net *"_ivl_140", 0 0, L_0x55e366f1d490; 1 drivers
-v0x55e366ef6ae0_0 .net *"_ivl_143", 0 0, L_0x55e366f1d500; 1 drivers
-v0x55e366ef6bc0_0 .net *"_ivl_145", 0 0, L_0x55e366f1d5f0; 1 drivers
-v0x55e366ef6ca0_0 .net *"_ivl_146", 0 0, L_0x55e366f1dbb0; 1 drivers
-v0x55e366ef6d80_0 .net *"_ivl_15", 0 0, L_0x55e366f18910; 1 drivers
-v0x55e366ef6e60_0 .net *"_ivl_150", 0 0, L_0x55e366f1dd40; 1 drivers
-v0x55e366ef6f40_0 .net *"_ivl_152", 0 0, L_0x55e366f1dff0; 1 drivers
-v0x55e366ef7020_0 .net *"_ivl_153", 0 0, L_0x55e366f1e0e0; 1 drivers
-v0x55e366ef7100_0 .net *"_ivl_156", 0 0, L_0x55e366f1e150; 1 drivers
-v0x55e366ef71e0_0 .net *"_ivl_158", 0 0, L_0x55e366f1e460; 1 drivers
-v0x55e366ef72c0_0 .net *"_ivl_159", 0 0, L_0x55e366f1e5a0; 1 drivers
-v0x55e366ef73a0_0 .net *"_ivl_16", 0 0, L_0x55e366f18a40; 1 drivers
-v0x55e366ef7480_0 .net *"_ivl_162", 0 0, L_0x55e366f1e6f0; 1 drivers
-v0x55e366ef7560_0 .net *"_ivl_164", 0 0, L_0x55e366f1e9c0; 1 drivers
-v0x55e366ef7640_0 .net *"_ivl_165", 0 0, L_0x55e366f1eab0; 1 drivers
-v0x55e366ef7720_0 .net *"_ivl_168", 0 0, L_0x55e366f1eb20; 1 drivers
-v0x55e366ef7800_0 .net *"_ivl_170", 0 0, L_0x55e366f1ee00; 1 drivers
-v0x55e366ef78e0_0 .net *"_ivl_171", 0 0, L_0x55e366f1f270; 1 drivers
-v0x55e366ef79c0_0 .net *"_ivl_175", 0 0, L_0x55e366f1f420; 1 drivers
-v0x55e366ef7aa0_0 .net *"_ivl_177", 0 0, L_0x55e366f1f510; 1 drivers
-v0x55e366ef7b80_0 .net *"_ivl_178", 0 0, L_0x55e366f1f810; 1 drivers
-v0x55e366ef7c60_0 .net *"_ivl_181", 0 0, L_0x55e366f1f880; 1 drivers
-v0x55e366ef7d40_0 .net *"_ivl_183", 0 0, L_0x55e366f1f9c0; 1 drivers
-v0x55e366ef7e20_0 .net *"_ivl_184", 0 0, L_0x55e366f1fd20; 1 drivers
-v0x55e366ef7f00_0 .net *"_ivl_187", 0 0, L_0x55e366f1fe90; 1 drivers
-v0x55e366ef7fe0_0 .net *"_ivl_189", 0 0, L_0x55e366f1ff80; 1 drivers
-v0x55e366ef80c0_0 .net *"_ivl_19", 0 0, L_0x55e366f18ab0; 1 drivers
-v0x55e366ef81a0_0 .net *"_ivl_190", 0 0, L_0x55e366f202a0; 1 drivers
-v0x55e366ef8280_0 .net *"_ivl_193", 0 0, L_0x55e366f20310; 1 drivers
-v0x55e366ef8360_0 .net *"_ivl_195", 0 0, L_0x55e366f20490; 1 drivers
-v0x55e366ef8440_0 .net *"_ivl_196", 0 0, L_0x55e366f20940; 1 drivers
-v0x55e366ef8520_0 .net *"_ivl_200", 0 0, L_0x55e366f20b10; 1 drivers
-v0x55e366ef8600_0 .net *"_ivl_202", 0 0, L_0x55e366f20e50; 1 drivers
-v0x55e366ef86e0_0 .net *"_ivl_203", 0 0, L_0x55e366f20f40; 1 drivers
-v0x55e366ef87c0_0 .net *"_ivl_206", 0 0, L_0x55e366f20fb0; 1 drivers
-v0x55e366ef88a0_0 .net *"_ivl_208", 0 0, L_0x55e366f21350; 1 drivers
-v0x55e366ef8980_0 .net *"_ivl_209", 0 0, L_0x55e366f21490; 1 drivers
-v0x55e366ef8a60_0 .net *"_ivl_21", 0 0, L_0x55e366f18b50; 1 drivers
-v0x55e366ef8f50_0 .net *"_ivl_212", 0 0, L_0x55e366f21620; 1 drivers
-v0x55e366ef9030_0 .net *"_ivl_214", 0 0, L_0x55e366f21980; 1 drivers
-v0x55e366ef9110_0 .net *"_ivl_215", 0 0, L_0x55e366f21a70; 1 drivers
-v0x55e366ef91f0_0 .net *"_ivl_218", 0 0, L_0x55e366f21ae0; 1 drivers
-v0x55e366ef92d0_0 .net *"_ivl_22", 0 0, L_0x55e366f18e20; 1 drivers
-v0x55e366ef93b0_0 .net *"_ivl_220", 0 0, L_0x55e366f21ee0; 1 drivers
-v0x55e366ef9490_0 .net *"_ivl_221", 0 0, L_0x55e366f22010; 1 drivers
-v0x55e366ef9570_0 .net *"_ivl_224", 0 0, L_0x55e366f21500; 1 drivers
-v0x55e366ef9650_0 .net *"_ivl_226", 0 0, L_0x55e366f22440; 1 drivers
-v0x55e366ef9730_0 .net *"_ivl_227", 0 0, L_0x55e366f224e0; 1 drivers
-v0x55e366ef9810_0 .net/2u *"_ivl_229", 0 0, L_0x7f547236c330; 1 drivers
-v0x55e366ef98f0_0 .net *"_ivl_232", 0 0, L_0x55e366f225a0; 1 drivers
-v0x55e366ef99d0_0 .net *"_ivl_233", 0 0, L_0x55e366f22930; 1 drivers
-v0x55e366ef9ab0_0 .net/2u *"_ivl_235", 0 0, L_0x7f547236c378; 1 drivers
-v0x55e366ef9b90_0 .net *"_ivl_238", 0 0, L_0x55e366f22b30; 1 drivers
-v0x55e366ef9c70_0 .net *"_ivl_239", 0 0, L_0x55e366f22c20; 1 drivers
-v0x55e366ef9d50_0 .net/2u *"_ivl_241", 0 0, L_0x7f547236c3c0; 1 drivers
-v0x55e366ef9e30_0 .net *"_ivl_244", 0 0, L_0x55e366f22ce0; 1 drivers
-v0x55e366ef9f10_0 .net *"_ivl_245", 0 0, L_0x55e366f233f0; 1 drivers
-v0x55e366ef9ff0_0 .net/2u *"_ivl_248", 0 0, L_0x7f547236c408; 1 drivers
-v0x55e366efa0d0_0 .net *"_ivl_251", 0 0, L_0x55e366f23650; 1 drivers
-v0x55e366efa1b0_0 .net *"_ivl_26", 0 0, L_0x55e366f18ee0; 1 drivers
-v0x55e366efa290_0 .net *"_ivl_28", 0 0, L_0x55e366f18fd0; 1 drivers
-v0x55e366efa370_0 .net *"_ivl_29", 0 0, L_0x55e366f19070; 1 drivers
-v0x55e366efa450_0 .net *"_ivl_32", 0 0, L_0x55e366f190e0; 1 drivers
-v0x55e366efa530_0 .net *"_ivl_34", 0 0, L_0x55e366f19290; 1 drivers
-v0x55e366efa610_0 .net *"_ivl_35", 0 0, L_0x55e366f19380; 1 drivers
-v0x55e366efa6f0_0 .net *"_ivl_38", 0 0, L_0x55e366f193f0; 1 drivers
-v0x55e366efa7d0_0 .net *"_ivl_4", 0 0, L_0x55e366f184d0; 1 drivers
-v0x55e366efa8b0_0 .net *"_ivl_40", 0 0, L_0x55e366f19560; 1 drivers
-v0x55e366efa990_0 .net *"_ivl_41", 0 0, L_0x55e366f19220; 1 drivers
-v0x55e366efaa70_0 .net *"_ivl_44", 0 0, L_0x55e366f196e0; 1 drivers
-v0x55e366efab50_0 .net *"_ivl_46", 0 0, L_0x55e366f198a0; 1 drivers
-v0x55e366efac30_0 .net *"_ivl_47", 0 0, L_0x55e366f19b70; 1 drivers
-v0x55e366efad10_0 .net *"_ivl_51", 0 0, L_0x55e366f19c80; 1 drivers
-v0x55e366efadf0_0 .net *"_ivl_53", 0 0, L_0x55e366f19d70; 1 drivers
-v0x55e366efaed0_0 .net *"_ivl_54", 0 0, L_0x55e366f19f10; 1 drivers
-v0x55e366efafb0_0 .net *"_ivl_57", 0 0, L_0x55e366f19ad0; 1 drivers
-v0x55e366efb090_0 .net *"_ivl_59", 0 0, L_0x55e366f19fd0; 1 drivers
-v0x55e366efb170_0 .net *"_ivl_60", 0 0, L_0x55e366f1a180; 1 drivers
-v0x55e366efb250_0 .net *"_ivl_63", 0 0, L_0x55e366f1a1f0; 1 drivers
-v0x55e366efb330_0 .net *"_ivl_65", 0 0, L_0x55e366f1a290; 1 drivers
-v0x55e366efb410_0 .net *"_ivl_66", 0 0, L_0x55e366f1a450; 1 drivers
-v0x55e366efb4f0_0 .net *"_ivl_69", 0 0, L_0x55e366f1a4c0; 1 drivers
-v0x55e366efb5d0_0 .net *"_ivl_7", 0 0, L_0x55e366f18540; 1 drivers
-v0x55e366efb6b0_0 .net *"_ivl_71", 0 0, L_0x55e366f1a560; 1 drivers
-v0x55e366efb790_0 .net *"_ivl_72", 0 0, L_0x55e366f1a8c0; 1 drivers
-v0x55e366efb870_0 .net *"_ivl_76", 0 0, L_0x55e366f1a9f0; 1 drivers
-v0x55e366efb950_0 .net *"_ivl_78", 0 0, L_0x55e366f1abd0; 1 drivers
-v0x55e366efba30_0 .net *"_ivl_79", 0 0, L_0x55e366f1acc0; 1 drivers
-v0x55e366efbb10_0 .net *"_ivl_82", 0 0, L_0x55e366f1ad30; 1 drivers
-v0x55e366efbbf0_0 .net *"_ivl_84", 0 0, L_0x55e366f1af20; 1 drivers
-v0x55e366efbcd0_0 .net *"_ivl_85", 0 0, L_0x55e366f1b010; 1 drivers
-v0x55e366efbdb0_0 .net *"_ivl_88", 0 0, L_0x55e366f1b100; 1 drivers
-v0x55e366efbe90_0 .net *"_ivl_9", 0 0, L_0x55e366f18630; 1 drivers
-v0x55e366efbf70_0 .net *"_ivl_90", 0 0, L_0x55e366f1b300; 1 drivers
-v0x55e366efc050_0 .net *"_ivl_91", 0 0, L_0x55e366f1a980; 1 drivers
-v0x55e366efc130_0 .net *"_ivl_94", 0 0, L_0x55e366f1b3f0; 1 drivers
-v0x55e366efc210_0 .net *"_ivl_96", 0 0, L_0x55e366f1b5b0; 1 drivers
-v0x55e366efc2f0_0 .net *"_ivl_97", 0 0, L_0x55e366f1b490; 1 drivers
-v0x55e366efc3d0_0 .net "aUtemp1", 3 0, L_0x55e366f1a730; 1 drivers
-v0x55e366efc4b0_0 .net "aUtemp2", 3 0, L_0x55e366f1b6a0; 1 drivers
-v0x55e366efc590_0 .net "add_Y", 3 0, L_0x55e366f04d10; 1 drivers
-v0x55e366efc680_0 .net "bcd", 11 0, L_0x55e366f29560; alias, 1 drivers
-v0x55e366efcf60_0 .net "lUOutput1", 3 0, L_0x55e366f18c90; 1 drivers
-v0x55e366efd020_0 .net "lUOutput2", 3 0, L_0x55e366f19940; 1 drivers
-v0x55e366efd100_0 .net "opCode8", 7 0, L_0x55e366eff690; 1 drivers
-v0x55e366efd1f0_0 .net "opCodeA", 2 0, v0x55e366efdf70_0; 1 drivers
-v0x55e366efd2c0_0 .net "opwireM", 7 0, L_0x55e366f17d00; 1 drivers
-v0x55e366efd390_0 .net "overflow", 0 0, L_0x55e366f06570; alias, 1 drivers
-v0x55e366efd460_0 .net "resultA", 3 0, L_0x55e366f09af0; 1 drivers
-v0x55e366efd530_0 .net "resultO", 3 0, L_0x55e366f0ac70; 1 drivers
-v0x55e366efd600_0 .net "resultX", 3 0, L_0x55e366f0bed0; 1 drivers
-v0x55e366efd6d0_0 .net "sub_Y", 3 0, L_0x55e366f05a90; 1 drivers
-v0x55e366efd7a0_0 .net "wireLA", 3 0, L_0x55e366f20800; 1 drivers
-v0x55e366efd840_0 .net "wireM", 7 0, L_0x55e366f1d890; 1 drivers
-v0x55e366efd920_0 .net "wireY", 3 0, L_0x55e366f1ef30; 1 drivers
-L_0x55e366f066a0 .part L_0x55e366eff690, 0, 2;
-L_0x55e366f0c740 .part L_0x55e366eff690, 4, 3;
-L_0x55e366f18540 .part L_0x55e366f09af0, 0, 1;
-L_0x55e366f18630 .part L_0x55e366f0ac70, 0, 1;
-L_0x55e366f18790 .part L_0x55e366f09af0, 1, 1;
-L_0x55e366f18910 .part L_0x55e366f0ac70, 1, 1;
-L_0x55e366f18ab0 .part L_0x55e366f09af0, 2, 1;
-L_0x55e366f18b50 .part L_0x55e366f0ac70, 2, 1;
-L_0x55e366f18c90 .concat8 [ 1 1 1 1], L_0x55e366f184d0, L_0x55e366f18720, L_0x55e366f18a40, L_0x55e366f18e20;
-L_0x55e366f18ee0 .part L_0x55e366f09af0, 3, 1;
-L_0x55e366f18fd0 .part L_0x55e366f0ac70, 3, 1;
-L_0x55e366f190e0 .part L_0x55e366f18c90, 0, 1;
-L_0x55e366f19290 .part L_0x55e366f0bed0, 0, 1;
-L_0x55e366f193f0 .part L_0x55e366f18c90, 1, 1;
-L_0x55e366f19560 .part L_0x55e366f0bed0, 1, 1;
-L_0x55e366f196e0 .part L_0x55e366f18c90, 2, 1;
-L_0x55e366f198a0 .part L_0x55e366f0bed0, 2, 1;
-L_0x55e366f19940 .concat8 [ 1 1 1 1], L_0x55e366f19070, L_0x55e366f19380, L_0x55e366f19220, L_0x55e366f19b70;
-L_0x55e366f19c80 .part L_0x55e366f18c90, 3, 1;
-L_0x55e366f19d70 .part L_0x55e366f0bed0, 3, 1;
-L_0x55e366f19ad0 .part L_0x55e366eff690, 0, 1;
-L_0x55e366f19fd0 .part L_0x55e366f04d10, 0, 1;
-L_0x55e366f1a1f0 .part L_0x55e366eff690, 0, 1;
-L_0x55e366f1a290 .part L_0x55e366f04d10, 1, 1;
-L_0x55e366f1a4c0 .part L_0x55e366eff690, 0, 1;
-L_0x55e366f1a560 .part L_0x55e366f04d10, 2, 1;
-L_0x55e366f1a730 .concat8 [ 1 1 1 1], L_0x55e366f19f10, L_0x55e366f1a180, L_0x55e366f1a450, L_0x55e366f1a8c0;
-L_0x55e366f1a9f0 .part L_0x55e366eff690, 0, 1;
-L_0x55e366f1abd0 .part L_0x55e366f04d10, 3, 1;
-L_0x55e366f1ad30 .part L_0x55e366eff690, 1, 1;
-L_0x55e366f1af20 .part L_0x55e366f05a90, 0, 1;
-L_0x55e366f1b100 .part L_0x55e366eff690, 1, 1;
-L_0x55e366f1b300 .part L_0x55e366f05a90, 1, 1;
-L_0x55e366f1b3f0 .part L_0x55e366eff690, 1, 1;
-L_0x55e366f1b5b0 .part L_0x55e366f05a90, 2, 1;
-L_0x55e366f1b6a0 .concat8 [ 1 1 1 1], L_0x55e366f1acc0, L_0x55e366f1b010, L_0x55e366f1a980, L_0x55e366f1b490;
-L_0x55e366f1b9f0 .part L_0x55e366eff690, 1, 1;
-L_0x55e366f1ba90 .part L_0x55e366f05a90, 3, 1;
-L_0x55e366f1bd30 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1be20 .part L_0x55e366f17d00, 0, 1;
-L_0x55e366f1c170 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1c260 .part L_0x55e366f17d00, 1, 1;
-L_0x55e366f1c520 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1c5c0 .part L_0x55e366f17d00, 2, 1;
-L_0x55e366f1c0d0 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1c990 .part L_0x55e366f17d00, 3, 1;
-L_0x55e366f1cc70 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1cd60 .part L_0x55e366f17d00, 4, 1;
-L_0x55e366f1d110 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1d200 .part L_0x55e366f17d00, 5, 1;
-L_0x55e366f1d500 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1d5f0 .part L_0x55e366f17d00, 6, 1;
-LS_0x55e366f1d890_0_0 .concat8 [ 1 1 1 1], L_0x55e366f1bcc0, L_0x55e366f1c060, L_0x55e366f1c4b0, L_0x55e366f1c820;
-LS_0x55e366f1d890_0_4 .concat8 [ 1 1 1 1], L_0x55e366f1cc00, L_0x55e366f1cfe0, L_0x55e366f1d490, L_0x55e366f1dbb0;
-L_0x55e366f1d890 .concat8 [ 4 4 0 0], LS_0x55e366f1d890_0_0, LS_0x55e366f1d890_0_4;
-L_0x55e366f1dd40 .part L_0x55e366eff690, 2, 1;
-L_0x55e366f1dff0 .part L_0x55e366f17d00, 7, 1;
-L_0x55e366f1e150 .part L_0x55e366f1a730, 0, 1;
-L_0x55e366f1e460 .part L_0x55e366f1b6a0, 0, 1;
-L_0x55e366f1e6f0 .part L_0x55e366f1a730, 1, 1;
-L_0x55e366f1e9c0 .part L_0x55e366f1b6a0, 1, 1;
-L_0x55e366f1eb20 .part L_0x55e366f1a730, 2, 1;
-L_0x55e366f1ee00 .part L_0x55e366f1b6a0, 2, 1;
-L_0x55e366f1ef30 .concat8 [ 1 1 1 1], L_0x55e366f1e0e0, L_0x55e366f1e5a0, L_0x55e366f1eab0, L_0x55e366f1f270;
-L_0x55e366f1f420 .part L_0x55e366f1a730, 3, 1;
-L_0x55e366f1f510 .part L_0x55e366f1b6a0, 3, 1;
-L_0x55e366f1f880 .part L_0x55e366f19940, 0, 1;
-L_0x55e366f1f9c0 .part L_0x55e366f1ef30, 0, 1;
-L_0x55e366f1fe90 .part L_0x55e366f19940, 1, 1;
-L_0x55e366f1ff80 .part L_0x55e366f1ef30, 1, 1;
-L_0x55e366f20310 .part L_0x55e366f19940, 2, 1;
-L_0x55e366f20490 .part L_0x55e366f1ef30, 2, 1;
-L_0x55e366f20800 .concat8 [ 1 1 1 1], L_0x55e366f1f810, L_0x55e366f1fd20, L_0x55e366f202a0, L_0x55e366f20940;
-L_0x55e366f20b10 .part L_0x55e366f19940, 3, 1;
-L_0x55e366f20e50 .part L_0x55e366f1ef30, 3, 1;
-L_0x55e366f20fb0 .part L_0x55e366f20800, 0, 1;
-L_0x55e366f21350 .part L_0x55e366f1d890, 0, 1;
-L_0x55e366f21620 .part L_0x55e366f20800, 1, 1;
-L_0x55e366f21980 .part L_0x55e366f1d890, 1, 1;
-L_0x55e366f21ae0 .part L_0x55e366f20800, 2, 1;
-L_0x55e366f21ee0 .part L_0x55e366f1d890, 2, 1;
-L_0x55e366f21500 .part L_0x55e366f20800, 3, 1;
-L_0x55e366f22440 .part L_0x55e366f1d890, 3, 1;
-L_0x55e366f225a0 .part L_0x55e366f1d890, 4, 1;
-L_0x55e366f22b30 .part L_0x55e366f1d890, 5, 1;
-L_0x55e366f22ce0 .part L_0x55e366f1d890, 6, 1;
-LS_0x55e366f23080_0_0 .concat8 [ 1 1 1 1], L_0x55e366f20f40, L_0x55e366f21490, L_0x55e366f21a70, L_0x55e366f22010;
-LS_0x55e366f23080_0_4 .concat8 [ 1 1 1 1], L_0x55e366f224e0, L_0x55e366f22930, L_0x55e366f22c20, L_0x55e366f233f0;
-L_0x55e366f23080 .concat8 [ 4 4 0 0], LS_0x55e366f23080_0_0, LS_0x55e366f23080_0_4;
-L_0x55e366f23650 .part L_0x55e366f1d890, 7, 1;
-S_0x55e366eb3a00 .scope module, "aU" "arithmeticUnit" 3 20, 4 1 0, S_0x55e366eb6430;
- .timescale 0 0;
- .port_info 0 /INPUT 2 "opCode";
- .port_info 1 /INPUT 4 "A";
- .port_info 2 /INPUT 4 "B";
- .port_info 3 /INPUT 1 "CarryIN";
- .port_info 4 /OUTPUT 4 "add_Y";
- .port_info 5 /OUTPUT 4 "sub_Y";
- .port_info 6 /OUTPUT 1 "CarryOUT";
- .port_info 7 /OUTPUT 1 "overflow";
-L_0x55e366f04620 .functor AND 1, L_0x55e366f046b0, L_0x55e366f04750, C4<1>, C4<1>;
-L_0x55e366f047f0 .functor AND 1, L_0x55e366f04860, L_0x55e366f04950, C4<1>, C4<1>;
-L_0x55e366f04ad0 .functor AND 1, L_0x55e366f04b40, L_0x55e366f04be0, C4<1>, C4<1>;
-L_0x55e366f04ef0 .functor AND 1, L_0x55e366f04fb0, L_0x55e366f05180, C4<1>, C4<1>;
-L_0x55e366f05220 .functor AND 1, L_0x55e366f05290, L_0x55e366f053e0, C4<1>, C4<1>;
-L_0x55e366f05480 .functor AND 1, L_0x55e366f05530, L_0x55e366f05690, C4<1>, C4<1>;
-L_0x55e366f05810 .functor AND 1, L_0x55e366f05880, L_0x55e366f059a0, C4<1>, C4<1>;
-L_0x55e366f05620 .functor AND 1, L_0x55e366f05da0, L_0x55e366f05fa0, C4<1>, C4<1>;
-L_0x55e366f06130 .functor AND 1, L_0x55e366f04070, L_0x55e366f061a0, C4<1>, C4<1>;
-L_0x55e366f06240 .functor AND 1, L_0x55e366f017b0, L_0x55e366f06310, C4<1>, C4<1>;
-L_0x55e366f06460 .functor OR 1, L_0x55e366f06240, L_0x55e366f06130, C4<0>, C4<0>;
-L_0x55e366f06570 .functor AND 1, L_0x55e366f06090, L_0x55e366f01df0, C4<1>, C4<1>;
-v0x55e366eccf00_0 .net "A", 3 0, v0x55e366efdb20_0; alias, 1 drivers
-v0x55e366ecd030_0 .net "B", 3 0, v0x55e366efdc00_0; alias, 1 drivers
-v0x55e366ecd140_0 .net "CarryIN", 0 0, v0x55e366efdcc0_0; alias, 1 drivers
-v0x55e366ecd1e0_0 .net "CarryOUT", 0 0, L_0x55e366f06460; alias, 1 drivers
-v0x55e366ecd280_0 .net "CarryOUTADD", 0 0, L_0x55e366f017b0; 1 drivers
-v0x55e366ecd3c0_0 .net "CarryOUTSUB", 0 0, L_0x55e366f04070; 1 drivers
-v0x55e366ecd4b0_0 .net *"_ivl_0", 0 0, L_0x55e366f04620; 1 drivers
-v0x55e366ecd590_0 .net *"_ivl_11", 0 0, L_0x55e366f04950; 1 drivers
-v0x55e366ecd670_0 .net *"_ivl_12", 0 0, L_0x55e366f04ad0; 1 drivers
-v0x55e366ecd7e0_0 .net *"_ivl_15", 0 0, L_0x55e366f04b40; 1 drivers
-v0x55e366ecd8c0_0 .net *"_ivl_17", 0 0, L_0x55e366f04be0; 1 drivers
-v0x55e366ecd9a0_0 .net *"_ivl_18", 0 0, L_0x55e366f04ef0; 1 drivers
-v0x55e366ecda80_0 .net *"_ivl_22", 0 0, L_0x55e366f04fb0; 1 drivers
-v0x55e366ecdb60_0 .net *"_ivl_24", 0 0, L_0x55e366f05180; 1 drivers
-v0x55e366ecdc40_0 .net *"_ivl_25", 0 0, L_0x55e366f05220; 1 drivers
-v0x55e366ecdd20_0 .net *"_ivl_28", 0 0, L_0x55e366f05290; 1 drivers
-v0x55e366ecde00_0 .net *"_ivl_3", 0 0, L_0x55e366f046b0; 1 drivers
-v0x55e366ecdee0_0 .net *"_ivl_30", 0 0, L_0x55e366f053e0; 1 drivers
-v0x55e366ecdfc0_0 .net *"_ivl_31", 0 0, L_0x55e366f05480; 1 drivers
-v0x55e366ece0a0_0 .net *"_ivl_34", 0 0, L_0x55e366f05530; 1 drivers
-v0x55e366ece180_0 .net *"_ivl_36", 0 0, L_0x55e366f05690; 1 drivers
-v0x55e366ece260_0 .net *"_ivl_37", 0 0, L_0x55e366f05810; 1 drivers
-v0x55e366ece340_0 .net *"_ivl_40", 0 0, L_0x55e366f05880; 1 drivers
-v0x55e366ece420_0 .net *"_ivl_42", 0 0, L_0x55e366f059a0; 1 drivers
-v0x55e366ece500_0 .net *"_ivl_43", 0 0, L_0x55e366f05620; 1 drivers
-v0x55e366ece5e0_0 .net *"_ivl_47", 0 0, L_0x55e366f05da0; 1 drivers
-v0x55e366ece6c0_0 .net *"_ivl_49", 0 0, L_0x55e366f05fa0; 1 drivers
-v0x55e366ece7a0_0 .net *"_ivl_5", 0 0, L_0x55e366f04750; 1 drivers
-v0x55e366ece880_0 .net *"_ivl_51", 0 0, L_0x55e366f061a0; 1 drivers
-v0x55e366ece960_0 .net *"_ivl_53", 0 0, L_0x55e366f06310; 1 drivers
-v0x55e366ecea40_0 .net *"_ivl_55", 0 0, L_0x55e366f06090; 1 drivers
-v0x55e366eceb20_0 .net *"_ivl_6", 0 0, L_0x55e366f047f0; 1 drivers
-v0x55e366ecec00_0 .net *"_ivl_9", 0 0, L_0x55e366f04860; 1 drivers
-v0x55e366eceef0_0 .net "addY", 3 0, L_0x55e366f01d50; 1 drivers
-v0x55e366ecefb0_0 .net "add_Y", 3 0, L_0x55e366f04d10; alias, 1 drivers
-v0x55e366ecf070_0 .net "opCode", 1 0, L_0x55e366f066a0; 1 drivers
-v0x55e366ecf150_0 .net "overflow", 0 0, L_0x55e366f06570; alias, 1 drivers
-v0x55e366ecf210_0 .net "subY", 3 0, L_0x55e366f04510; 1 drivers
-v0x55e366ecf2d0_0 .net "sub_Y", 3 0, L_0x55e366f05a90; alias, 1 drivers
-v0x55e366ecf390_0 .net "tempCAdd", 0 0, L_0x55e366f06240; 1 drivers
-v0x55e366ecf450_0 .net "tempCSub", 0 0, L_0x55e366f06130; 1 drivers
-v0x55e366ecf510_0 .net "tempoverflow", 0 0, L_0x55e366f01df0; 1 drivers
-L_0x55e366f046b0 .part L_0x55e366f066a0, 0, 1;
-L_0x55e366f04750 .part L_0x55e366f01d50, 0, 1;
-L_0x55e366f04860 .part L_0x55e366f066a0, 0, 1;
-L_0x55e366f04950 .part L_0x55e366f01d50, 1, 1;
-L_0x55e366f04b40 .part L_0x55e366f066a0, 0, 1;
-L_0x55e366f04be0 .part L_0x55e366f01d50, 2, 1;
-L_0x55e366f04d10 .concat8 [ 1 1 1 1], L_0x55e366f04620, L_0x55e366f047f0, L_0x55e366f04ad0, L_0x55e366f04ef0;
-L_0x55e366f04fb0 .part L_0x55e366f066a0, 0, 1;
-L_0x55e366f05180 .part L_0x55e366f01d50, 3, 1;
-L_0x55e366f05290 .part L_0x55e366f066a0, 1, 1;
-L_0x55e366f053e0 .part L_0x55e366f04510, 0, 1;
-L_0x55e366f05530 .part L_0x55e366f066a0, 1, 1;
-L_0x55e366f05690 .part L_0x55e366f04510, 1, 1;
-L_0x55e366f05880 .part L_0x55e366f066a0, 1, 1;
-L_0x55e366f059a0 .part L_0x55e366f04510, 2, 1;
-L_0x55e366f05a90 .concat8 [ 1 1 1 1], L_0x55e366f05220, L_0x55e366f05480, L_0x55e366f05810, L_0x55e366f05620;
-L_0x55e366f05da0 .part L_0x55e366f066a0, 1, 1;
-L_0x55e366f05fa0 .part L_0x55e366f04510, 3, 1;
-L_0x55e366f061a0 .part L_0x55e366f066a0, 1, 1;
-L_0x55e366f06310 .part L_0x55e366f066a0, 0, 1;
-L_0x55e366f06090 .part L_0x55e366f066a0, 0, 1;
-S_0x55e366eb1660 .scope module, "a1" "addition" 4 13, 5 1 0, S_0x55e366eb3a00;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /INPUT 1 "CarryIN";
- .port_info 3 /OUTPUT 4 "Y";
- .port_info 4 /OUTPUT 1 "CarryOUT";
- .port_info 5 /OUTPUT 1 "overflow";
-L_0x55e366f01df0 .functor XOR 1, L_0x55e366f01f10, L_0x55e366f017b0, C4<0>, C4<0>;
-v0x55e366ec62f0_0 .net "A", 3 0, v0x55e366efdb20_0; alias, 1 drivers
-v0x55e366ec63d0_0 .net "B", 3 0, v0x55e366efdc00_0; alias, 1 drivers
-v0x55e366ec64b0_0 .net "Carry4", 2 0, L_0x55e366f01220; 1 drivers
-v0x55e366ec6570_0 .net "CarryIN", 0 0, v0x55e366efdcc0_0; alias, 1 drivers
-v0x55e366ec6660_0 .net "CarryOUT", 0 0, L_0x55e366f017b0; alias, 1 drivers
-v0x55e366ec6750_0 .net "Y", 3 0, L_0x55e366f01d50; alias, 1 drivers
-v0x55e366ec6810_0 .net *"_ivl_39", 0 0, L_0x55e366f01f10; 1 drivers
-v0x55e366ec68f0_0 .net "overflow", 0 0, L_0x55e366f01df0; alias, 1 drivers
-L_0x55e366efff20 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f00050 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f00570 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f007b0 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f00960 .part L_0x55e366f01220, 0, 1;
-L_0x55e366f00e00 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f00f70 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f010a0 .part L_0x55e366f01220, 1, 1;
-L_0x55e366f01220 .concat8 [ 1 1 1 0], L_0x55e366effeb0, L_0x55e366f004e0, L_0x55e366f00d70;
-L_0x55e366f01860 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f019f0 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f01b20 .part L_0x55e366f01220, 2, 1;
-L_0x55e366f01d50 .concat8 [ 1 1 1 1], L_0x55e366effe40, L_0x55e366f00400, L_0x55e366f00c90, L_0x55e366f016d0;
-L_0x55e366f01f10 .part L_0x55e366f01220, 2, 1;
-S_0x55e366eaeeb0 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55e366eb1660;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366effeb0 .functor OR 1, L_0x55e366effbb0, L_0x55e366effd80, C4<0>, C4<0>;
-v0x55e366ec1fa0_0 .net "A", 0 0, L_0x55e366efff20; 1 drivers
-v0x55e366ec2060_0 .net "B", 0 0, L_0x55e366f00050; 1 drivers
-v0x55e366ec2130_0 .net "Carry", 0 0, v0x55e366efdcc0_0; alias, 1 drivers
-v0x55e366ec2230_0 .net "CarryO", 0 0, L_0x55e366effeb0; 1 drivers
-v0x55e366ec22d0_0 .net "Sum", 0 0, L_0x55e366effe40; 1 drivers
-v0x55e366ec23c0_0 .net "and1", 0 0, L_0x55e366effbb0; 1 drivers
-v0x55e366ec2490_0 .net "and2", 0 0, L_0x55e366effd80; 1 drivers
-v0x55e366ec2560_0 .net "xor1", 0 0, L_0x55e366effd10; 1 drivers
-S_0x55e366eac700 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366eaeeb0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366effbb0 .functor AND 1, L_0x55e366efff20, L_0x55e366f00050, C4<1>, C4<1>;
-L_0x55e366effd10 .functor XOR 1, L_0x55e366efff20, L_0x55e366f00050, C4<0>, C4<0>;
-v0x55e366e48690_0 .net "A", 0 0, L_0x55e366efff20; alias, 1 drivers
-v0x55e366e46980_0 .net "B", 0 0, L_0x55e366f00050; alias, 1 drivers
-v0x55e366ebbc80_0 .net "Carry", 0 0, L_0x55e366effbb0; alias, 1 drivers
-v0x55e366ebbf90_0 .net "Sum", 0 0, L_0x55e366effd10; alias, 1 drivers
-S_0x55e366ec1bb0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366eaeeb0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366effd80 .functor AND 1, L_0x55e366effd10, v0x55e366efdcc0_0, C4<1>, C4<1>;
-L_0x55e366effe40 .functor XOR 1, L_0x55e366effd10, v0x55e366efdcc0_0, C4<0>, C4<0>;
-v0x55e366ebc2a0_0 .net "A", 0 0, L_0x55e366effd10; alias, 1 drivers
-v0x55e366ebc550_0 .net "B", 0 0, v0x55e366efdcc0_0; alias, 1 drivers
-v0x55e366ec1d60_0 .net "Carry", 0 0, L_0x55e366effd80; alias, 1 drivers
-v0x55e366ec1e30_0 .net "Sum", 0 0, L_0x55e366effe40; alias, 1 drivers
-S_0x55e366ec2650 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55e366eb1660;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f004e0 .functor OR 1, L_0x55e366f00180, L_0x55e366f002b0, C4<0>, C4<0>;
-v0x55e366ec33d0_0 .net "A", 0 0, L_0x55e366f00570; 1 drivers
-v0x55e366ec3490_0 .net "B", 0 0, L_0x55e366f007b0; 1 drivers
-v0x55e366ec3560_0 .net "Carry", 0 0, L_0x55e366f00960; 1 drivers
-v0x55e366ec3660_0 .net "CarryO", 0 0, L_0x55e366f004e0; 1 drivers
-v0x55e366ec3700_0 .net "Sum", 0 0, L_0x55e366f00400; 1 drivers
-v0x55e366ec37f0_0 .net "and1", 0 0, L_0x55e366f00180; 1 drivers
-v0x55e366ec38c0_0 .net "and2", 0 0, L_0x55e366f002b0; 1 drivers
-v0x55e366ec3990_0 .net "xor1", 0 0, L_0x55e366f00240; 1 drivers
-S_0x55e366ec2830 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ec2650;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f00180 .functor AND 1, L_0x55e366f00570, L_0x55e366f007b0, C4<1>, C4<1>;
-L_0x55e366f00240 .functor XOR 1, L_0x55e366f00570, L_0x55e366f007b0, C4<0>, C4<0>;
-v0x55e366ec2a40_0 .net "A", 0 0, L_0x55e366f00570; alias, 1 drivers
-v0x55e366ec2b20_0 .net "B", 0 0, L_0x55e366f007b0; alias, 1 drivers
-v0x55e366ec2be0_0 .net "Carry", 0 0, L_0x55e366f00180; alias, 1 drivers
-v0x55e366ec2cb0_0 .net "Sum", 0 0, L_0x55e366f00240; alias, 1 drivers
-S_0x55e366ec2e20 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ec2650;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f002b0 .functor AND 1, L_0x55e366f00240, L_0x55e366f00960, C4<1>, C4<1>;
-L_0x55e366f00400 .functor XOR 1, L_0x55e366f00240, L_0x55e366f00960, C4<0>, C4<0>;
-v0x55e366ec3020_0 .net "A", 0 0, L_0x55e366f00240; alias, 1 drivers
-v0x55e366ec30f0_0 .net "B", 0 0, L_0x55e366f00960; alias, 1 drivers
-v0x55e366ec3190_0 .net "Carry", 0 0, L_0x55e366f002b0; alias, 1 drivers
-v0x55e366ec3260_0 .net "Sum", 0 0, L_0x55e366f00400; alias, 1 drivers
-S_0x55e366ec3a80 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55e366eb1660;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f00d70 .functor OR 1, L_0x55e366f00a00, L_0x55e366f00b00, C4<0>, C4<0>;
-v0x55e366ec4810_0 .net "A", 0 0, L_0x55e366f00e00; 1 drivers
-v0x55e366ec48d0_0 .net "B", 0 0, L_0x55e366f00f70; 1 drivers
-v0x55e366ec49a0_0 .net "Carry", 0 0, L_0x55e366f010a0; 1 drivers
-v0x55e366ec4aa0_0 .net "CarryO", 0 0, L_0x55e366f00d70; 1 drivers
-v0x55e366ec4b40_0 .net "Sum", 0 0, L_0x55e366f00c90; 1 drivers
-v0x55e366ec4c30_0 .net "and1", 0 0, L_0x55e366f00a00; 1 drivers
-v0x55e366ec4d00_0 .net "and2", 0 0, L_0x55e366f00b00; 1 drivers
-v0x55e366ec4dd0_0 .net "xor1", 0 0, L_0x55e366f00a70; 1 drivers
-S_0x55e366ec3c90 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ec3a80;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f00a00 .functor AND 1, L_0x55e366f00e00, L_0x55e366f00f70, C4<1>, C4<1>;
-L_0x55e366f00a70 .functor XOR 1, L_0x55e366f00e00, L_0x55e366f00f70, C4<0>, C4<0>;
-v0x55e366ec3ea0_0 .net "A", 0 0, L_0x55e366f00e00; alias, 1 drivers
-v0x55e366ec3f60_0 .net "B", 0 0, L_0x55e366f00f70; alias, 1 drivers
-v0x55e366ec4020_0 .net "Carry", 0 0, L_0x55e366f00a00; alias, 1 drivers
-v0x55e366ec40f0_0 .net "Sum", 0 0, L_0x55e366f00a70; alias, 1 drivers
-S_0x55e366ec4260 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ec3a80;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f00b00 .functor AND 1, L_0x55e366f00a70, L_0x55e366f010a0, C4<1>, C4<1>;
-L_0x55e366f00c90 .functor XOR 1, L_0x55e366f00a70, L_0x55e366f010a0, C4<0>, C4<0>;
-v0x55e366ec4460_0 .net "A", 0 0, L_0x55e366f00a70; alias, 1 drivers
-v0x55e366ec4530_0 .net "B", 0 0, L_0x55e366f010a0; alias, 1 drivers
-v0x55e366ec45d0_0 .net "Carry", 0 0, L_0x55e366f00b00; alias, 1 drivers
-v0x55e366ec46a0_0 .net "Sum", 0 0, L_0x55e366f00c90; alias, 1 drivers
-S_0x55e366ec4ec0 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55e366eb1660;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f017b0 .functor OR 1, L_0x55e366f01310, L_0x55e366f01540, C4<0>, C4<0>;
-v0x55e366ec5c40_0 .net "A", 0 0, L_0x55e366f01860; 1 drivers
-v0x55e366ec5d00_0 .net "B", 0 0, L_0x55e366f019f0; 1 drivers
-v0x55e366ec5dd0_0 .net "Carry", 0 0, L_0x55e366f01b20; 1 drivers
-v0x55e366ec5ed0_0 .net "CarryO", 0 0, L_0x55e366f017b0; alias, 1 drivers
-v0x55e366ec5f70_0 .net "Sum", 0 0, L_0x55e366f016d0; 1 drivers
-v0x55e366ec6060_0 .net "and1", 0 0, L_0x55e366f01310; 1 drivers
-v0x55e366ec6130_0 .net "and2", 0 0, L_0x55e366f01540; 1 drivers
-v0x55e366ec6200_0 .net "xor1", 0 0, L_0x55e366f014b0; 1 drivers
-S_0x55e366ec50a0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ec4ec0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f01310 .functor AND 1, L_0x55e366f01860, L_0x55e366f019f0, C4<1>, C4<1>;
-L_0x55e366f014b0 .functor XOR 1, L_0x55e366f01860, L_0x55e366f019f0, C4<0>, C4<0>;
-v0x55e366ec52b0_0 .net "A", 0 0, L_0x55e366f01860; alias, 1 drivers
-v0x55e366ec5390_0 .net "B", 0 0, L_0x55e366f019f0; alias, 1 drivers
-v0x55e366ec5450_0 .net "Carry", 0 0, L_0x55e366f01310; alias, 1 drivers
-v0x55e366ec5520_0 .net "Sum", 0 0, L_0x55e366f014b0; alias, 1 drivers
-S_0x55e366ec5690 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ec4ec0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f01540 .functor AND 1, L_0x55e366f014b0, L_0x55e366f01b20, C4<1>, C4<1>;
-L_0x55e366f016d0 .functor XOR 1, L_0x55e366f014b0, L_0x55e366f01b20, C4<0>, C4<0>;
-v0x55e366ec5890_0 .net "A", 0 0, L_0x55e366f014b0; alias, 1 drivers
-v0x55e366ec5960_0 .net "B", 0 0, L_0x55e366f01b20; alias, 1 drivers
-v0x55e366ec5a00_0 .net "Carry", 0 0, L_0x55e366f01540; alias, 1 drivers
-v0x55e366ec5ad0_0 .net "Sum", 0 0, L_0x55e366f016d0; alias, 1 drivers
-S_0x55e366ec6a70 .scope module, "s1" "subtraction" 4 14, 8 1 0, S_0x55e366eb3a00;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /INPUT 1 "BorrowIN";
- .port_info 3 /OUTPUT 4 "Y";
- .port_info 4 /OUTPUT 1 "BorrowOUT";
-v0x55e366ecc8a0_0 .net "A", 3 0, v0x55e366efdb20_0; alias, 1 drivers
-v0x55e366ecc960_0 .net "B", 3 0, v0x55e366efdc00_0; alias, 1 drivers
-v0x55e366ecca30_0 .net "BorrowIN", 0 0, v0x55e366efdcc0_0; alias, 1 drivers
-v0x55e366eccb00_0 .net "BorrowOUT", 0 0, L_0x55e366f04070; alias, 1 drivers
-v0x55e366eccbd0_0 .net "Y", 3 0, L_0x55e366f04510; alias, 1 drivers
-o0x7f54723b7358 .functor BUFZ 1, C4; HiZ drive
-; Elide local net with no drivers, v0x55e366ecccc0_0 name=_ivl_39
-v0x55e366eccd80_0 .net "tempB", 3 0, L_0x55e366f29c50; 1 drivers
-L_0x55e366f02530 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f02680 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f02dc0 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f02ef0 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f03020 .part L_0x55e366f29c50, 0, 1;
-L_0x55e366f036d0 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f03840 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f03970 .part L_0x55e366f29c50, 1, 1;
-L_0x55e366f04120 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f04250 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f043e0 .part L_0x55e366f29c50, 2, 1;
-L_0x55e366f04510 .concat8 [ 1 1 1 1], L_0x55e366f021c0, L_0x55e366f02a00, L_0x55e366f03310, L_0x55e366f03d40;
-L_0x55e366f29c50 .concat [ 1 1 1 1], L_0x55e366f024a0, L_0x55e366f02d30, L_0x55e366f03640, o0x7f54723b7358;
-S_0x55e366ec6c70 .scope module, "f0" "fullsubtraction" 8 11, 9 1 0, S_0x55e366ec6a70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "BorrowIN";
- .port_info 3 /OUTPUT 1 "Difference";
- .port_info 4 /OUTPUT 1 "BorrowOut";
-L_0x55e366f024a0 .functor OR 1, L_0x55e366f02100, L_0x55e366f023e0, C4<0>, C4<0>;
-v0x55e366ec7cc0_0 .net "A", 0 0, L_0x55e366f02530; 1 drivers
-v0x55e366ec7d80_0 .net "B", 0 0, L_0x55e366f02680; 1 drivers
-v0x55e366ec7e50_0 .net "BorrowIN", 0 0, v0x55e366efdcc0_0; alias, 1 drivers
-v0x55e366ec7fb0_0 .net "BorrowOut", 0 0, L_0x55e366f024a0; 1 drivers
-v0x55e366ec8050_0 .net "Difference", 0 0, L_0x55e366f021c0; 1 drivers
-v0x55e366ec80f0_0 .net "tempB1", 0 0, L_0x55e366f02100; 1 drivers
-v0x55e366ec81c0_0 .net "tempB2", 0 0, L_0x55e366f023e0; 1 drivers
-v0x55e366ec8290_0 .net "tempD", 0 0, L_0x55e366f01ce0; 1 drivers
-S_0x55e366ec6e80 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55e366ec6c70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f01ce0 .functor XOR 1, L_0x55e366f02530, L_0x55e366f02680, C4<0>, C4<0>;
-L_0x55e366f02070 .functor NOT 1, L_0x55e366f02530, C4<0>, C4<0>, C4<0>;
-L_0x55e366f02100 .functor AND 1, L_0x55e366f02070, L_0x55e366f02680, C4<1>, C4<1>;
-v0x55e366ec7120_0 .net "A", 0 0, L_0x55e366f02530; alias, 1 drivers
-v0x55e366ec7200_0 .net "B", 0 0, L_0x55e366f02680; alias, 1 drivers
-v0x55e366ec72c0_0 .net "Borrow", 0 0, L_0x55e366f02100; alias, 1 drivers
-v0x55e366ec7390_0 .net "Difference", 0 0, L_0x55e366f01ce0; alias, 1 drivers
-v0x55e366ec7450_0 .net "notA", 0 0, L_0x55e366f02070; 1 drivers
-S_0x55e366ec75e0 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55e366ec6c70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f021c0 .functor XOR 1, L_0x55e366f01ce0, v0x55e366efdcc0_0, C4<0>, C4<0>;
-L_0x55e366f02350 .functor NOT 1, L_0x55e366f01ce0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f023e0 .functor AND 1, L_0x55e366f02350, v0x55e366efdcc0_0, C4<1>, C4<1>;
-v0x55e366ec7850_0 .net "A", 0 0, L_0x55e366f01ce0; alias, 1 drivers
-v0x55e366ec7920_0 .net "B", 0 0, v0x55e366efdcc0_0; alias, 1 drivers
-v0x55e366ec79c0_0 .net "Borrow", 0 0, L_0x55e366f023e0; alias, 1 drivers
-v0x55e366ec7a90_0 .net "Difference", 0 0, L_0x55e366f021c0; alias, 1 drivers
-v0x55e366ec7b30_0 .net "notA", 0 0, L_0x55e366f02350; 1 drivers
-S_0x55e366ec8380 .scope module, "f1" "fullsubtraction" 8 12, 9 1 0, S_0x55e366ec6a70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "BorrowIN";
- .port_info 3 /OUTPUT 1 "Difference";
- .port_info 4 /OUTPUT 1 "BorrowOut";
-L_0x55e366f02d30 .functor OR 1, L_0x55e366f028f0, L_0x55e366f02c20, C4<0>, C4<0>;
-v0x55e366ec93c0_0 .net "A", 0 0, L_0x55e366f02dc0; 1 drivers
-v0x55e366ec9480_0 .net "B", 0 0, L_0x55e366f02ef0; 1 drivers
-v0x55e366ec9550_0 .net "BorrowIN", 0 0, L_0x55e366f03020; 1 drivers
-v0x55e366ec9650_0 .net "BorrowOut", 0 0, L_0x55e366f02d30; 1 drivers
-v0x55e366ec96f0_0 .net "Difference", 0 0, L_0x55e366f02a00; 1 drivers
-v0x55e366ec97e0_0 .net "tempB1", 0 0, L_0x55e366f028f0; 1 drivers
-v0x55e366ec98b0_0 .net "tempB2", 0 0, L_0x55e366f02c20; 1 drivers
-v0x55e366ec9980_0 .net "tempD", 0 0, L_0x55e366f027b0; 1 drivers
-S_0x55e366ec8580 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55e366ec8380;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f027b0 .functor XOR 1, L_0x55e366f02dc0, L_0x55e366f02ef0, C4<0>, C4<0>;
-L_0x55e366f02860 .functor NOT 1, L_0x55e366f02dc0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f028f0 .functor AND 1, L_0x55e366f02860, L_0x55e366f02ef0, C4<1>, C4<1>;
-v0x55e366ec8800_0 .net "A", 0 0, L_0x55e366f02dc0; alias, 1 drivers
-v0x55e366ec88e0_0 .net "B", 0 0, L_0x55e366f02ef0; alias, 1 drivers
-v0x55e366ec89a0_0 .net "Borrow", 0 0, L_0x55e366f028f0; alias, 1 drivers
-v0x55e366ec8a70_0 .net "Difference", 0 0, L_0x55e366f027b0; alias, 1 drivers
-v0x55e366ec8b30_0 .net "notA", 0 0, L_0x55e366f02860; 1 drivers
-S_0x55e366ec8cc0 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55e366ec8380;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f02a00 .functor XOR 1, L_0x55e366f027b0, L_0x55e366f03020, C4<0>, C4<0>;
-L_0x55e366f02b90 .functor NOT 1, L_0x55e366f027b0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f02c20 .functor AND 1, L_0x55e366f02b90, L_0x55e366f03020, C4<1>, C4<1>;
-v0x55e366ec8f30_0 .net "A", 0 0, L_0x55e366f027b0; alias, 1 drivers
-v0x55e366ec9000_0 .net "B", 0 0, L_0x55e366f03020; alias, 1 drivers
-v0x55e366ec90a0_0 .net "Borrow", 0 0, L_0x55e366f02c20; alias, 1 drivers
-v0x55e366ec9170_0 .net "Difference", 0 0, L_0x55e366f02a00; alias, 1 drivers
-v0x55e366ec9230_0 .net "notA", 0 0, L_0x55e366f02b90; 1 drivers
-S_0x55e366ec9a70 .scope module, "f2" "fullsubtraction" 8 13, 9 1 0, S_0x55e366ec6a70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "BorrowIN";
- .port_info 3 /OUTPUT 1 "Difference";
- .port_info 4 /OUTPUT 1 "BorrowOut";
-L_0x55e366f03640 .functor OR 1, L_0x55e366f03250, L_0x55e366f03530, C4<0>, C4<0>;
-v0x55e366ecaaa0_0 .net "A", 0 0, L_0x55e366f036d0; 1 drivers
-v0x55e366ecab60_0 .net "B", 0 0, L_0x55e366f03840; 1 drivers
-v0x55e366ecac30_0 .net "BorrowIN", 0 0, L_0x55e366f03970; 1 drivers
-v0x55e366ecad30_0 .net "BorrowOut", 0 0, L_0x55e366f03640; 1 drivers
-v0x55e366ecadd0_0 .net "Difference", 0 0, L_0x55e366f03310; 1 drivers
-v0x55e366ecaec0_0 .net "tempB1", 0 0, L_0x55e366f03250; 1 drivers
-v0x55e366ecaf90_0 .net "tempB2", 0 0, L_0x55e366f03530; 1 drivers
-v0x55e366ecb060_0 .net "tempD", 0 0, L_0x55e366f03150; 1 drivers
-S_0x55e366ec9c80 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55e366ec9a70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f03150 .functor XOR 1, L_0x55e366f036d0, L_0x55e366f03840, C4<0>, C4<0>;
-L_0x55e366f031c0 .functor NOT 1, L_0x55e366f036d0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f03250 .functor AND 1, L_0x55e366f031c0, L_0x55e366f03840, C4<1>, C4<1>;
-v0x55e366ec9f00_0 .net "A", 0 0, L_0x55e366f036d0; alias, 1 drivers
-v0x55e366ec9fc0_0 .net "B", 0 0, L_0x55e366f03840; alias, 1 drivers
-v0x55e366eca080_0 .net "Borrow", 0 0, L_0x55e366f03250; alias, 1 drivers
-v0x55e366eca150_0 .net "Difference", 0 0, L_0x55e366f03150; alias, 1 drivers
-v0x55e366eca210_0 .net "notA", 0 0, L_0x55e366f031c0; 1 drivers
-S_0x55e366eca3a0 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55e366ec9a70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f03310 .functor XOR 1, L_0x55e366f03150, L_0x55e366f03970, C4<0>, C4<0>;
-L_0x55e366f034a0 .functor NOT 1, L_0x55e366f03150, C4<0>, C4<0>, C4<0>;
-L_0x55e366f03530 .functor AND 1, L_0x55e366f034a0, L_0x55e366f03970, C4<1>, C4<1>;
-v0x55e366eca610_0 .net "A", 0 0, L_0x55e366f03150; alias, 1 drivers
-v0x55e366eca6e0_0 .net "B", 0 0, L_0x55e366f03970; alias, 1 drivers
-v0x55e366eca780_0 .net "Borrow", 0 0, L_0x55e366f03530; alias, 1 drivers
-v0x55e366eca850_0 .net "Difference", 0 0, L_0x55e366f03310; alias, 1 drivers
-v0x55e366eca910_0 .net "notA", 0 0, L_0x55e366f034a0; 1 drivers
-S_0x55e366ecb150 .scope module, "f3" "fullsubtraction" 8 14, 9 1 0, S_0x55e366ec6a70;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "BorrowIN";
- .port_info 3 /OUTPUT 1 "Difference";
- .port_info 4 /OUTPUT 1 "BorrowOut";
-L_0x55e366f04070 .functor OR 1, L_0x55e366f03c30, L_0x55e366f03f60, C4<0>, C4<0>;
-v0x55e366ecc1f0_0 .net "A", 0 0, L_0x55e366f04120; 1 drivers
-v0x55e366ecc2b0_0 .net "B", 0 0, L_0x55e366f04250; 1 drivers
-v0x55e366ecc380_0 .net "BorrowIN", 0 0, L_0x55e366f043e0; 1 drivers
-v0x55e366ecc480_0 .net "BorrowOut", 0 0, L_0x55e366f04070; alias, 1 drivers
-v0x55e366ecc520_0 .net "Difference", 0 0, L_0x55e366f03d40; 1 drivers
-v0x55e366ecc610_0 .net "tempB1", 0 0, L_0x55e366f03c30; 1 drivers
-v0x55e366ecc6e0_0 .net "tempB2", 0 0, L_0x55e366f03f60; 1 drivers
-v0x55e366ecc7b0_0 .net "tempD", 0 0, L_0x55e366f03af0; 1 drivers
-S_0x55e366ecb3b0 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55e366ecb150;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f03af0 .functor XOR 1, L_0x55e366f04120, L_0x55e366f04250, C4<0>, C4<0>;
-L_0x55e366f03ba0 .functor NOT 1, L_0x55e366f04120, C4<0>, C4<0>, C4<0>;
-L_0x55e366f03c30 .functor AND 1, L_0x55e366f03ba0, L_0x55e366f04250, C4<1>, C4<1>;
-v0x55e366ecb630_0 .net "A", 0 0, L_0x55e366f04120; alias, 1 drivers
-v0x55e366ecb710_0 .net "B", 0 0, L_0x55e366f04250; alias, 1 drivers
-v0x55e366ecb7d0_0 .net "Borrow", 0 0, L_0x55e366f03c30; alias, 1 drivers
-v0x55e366ecb8a0_0 .net "Difference", 0 0, L_0x55e366f03af0; alias, 1 drivers
-v0x55e366ecb960_0 .net "notA", 0 0, L_0x55e366f03ba0; 1 drivers
-S_0x55e366ecbaf0 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55e366ecb150;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Difference";
- .port_info 3 /OUTPUT 1 "Borrow";
-L_0x55e366f03d40 .functor XOR 1, L_0x55e366f03af0, L_0x55e366f043e0, C4<0>, C4<0>;
-L_0x55e366f03ed0 .functor NOT 1, L_0x55e366f03af0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f03f60 .functor AND 1, L_0x55e366f03ed0, L_0x55e366f043e0, C4<1>, C4<1>;
-v0x55e366ecbd60_0 .net "A", 0 0, L_0x55e366f03af0; alias, 1 drivers
-v0x55e366ecbe30_0 .net "B", 0 0, L_0x55e366f043e0; alias, 1 drivers
-v0x55e366ecbed0_0 .net "Borrow", 0 0, L_0x55e366f03f60; alias, 1 drivers
-v0x55e366ecbfa0_0 .net "Difference", 0 0, L_0x55e366f03d40; alias, 1 drivers
-v0x55e366ecc060_0 .net "notA", 0 0, L_0x55e366f03ed0; 1 drivers
-S_0x55e366ecf690 .scope module, "btod1" "BinaryToBCD" 3 76, 11 1 0, S_0x55e366eb6430;
- .timescale 0 0;
- .port_info 0 /INPUT 8 "binary";
- .port_info 1 /OUTPUT 12 "bcd";
-L_0x7f547236c450 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x7f547236c498 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f23a00 .functor AND 1, L_0x7f547236c450, L_0x7f547236c498, C4<1>, C4<1>;
-L_0x7f547236c4e0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x7f547236c528 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f23b60 .functor AND 1, L_0x7f547236c4e0, L_0x7f547236c528, C4<1>, C4<1>;
-L_0x7f547236c570 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x7f547236c5b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f23cc0 .functor AND 1, L_0x7f547236c570, L_0x7f547236c5b8, C4<1>, C4<1>;
-L_0x7f547236c600 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x7f547236c648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f23dd0 .functor AND 1, L_0x7f547236c600, L_0x7f547236c648, C4<1>, C4<1>;
-L_0x7f547236c690 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f29940 .functor OR 1, L_0x55e366f29a00, L_0x7f547236c690, C4<0>, C4<0>;
-v0x55e366ed61c0_0 .net/2u *"_ivl_0", 0 0, L_0x7f547236c450; 1 drivers
-v0x55e366ed62c0_0 .net/2u *"_ivl_10", 0 0, L_0x7f547236c570; 1 drivers
-v0x55e366ed63a0_0 .net/2u *"_ivl_12", 0 0, L_0x7f547236c5b8; 1 drivers
-v0x55e366ed6460_0 .net *"_ivl_132", 0 0, L_0x55e366f29940; 1 drivers
-v0x55e366ed6540_0 .net *"_ivl_136", 0 0, L_0x55e366f29a00; 1 drivers
-v0x55e366ed6620_0 .net/2u *"_ivl_137", 0 0, L_0x7f547236c690; 1 drivers
-v0x55e366ed6700_0 .net *"_ivl_14", 0 0, L_0x55e366f23dd0; 1 drivers
-o0x7f54723b9ab8 .functor BUFZ 1, C4; HiZ drive
-; Elide local net with no drivers, v0x55e366ed67e0_0 name=_ivl_141
-v0x55e366ed68c0_0 .net/2u *"_ivl_16", 0 0, L_0x7f547236c600; 1 drivers
-v0x55e366ed6a30_0 .net/2u *"_ivl_18", 0 0, L_0x7f547236c648; 1 drivers
-v0x55e366ed6b10_0 .net/2u *"_ivl_2", 0 0, L_0x7f547236c498; 1 drivers
-v0x55e366ed6bf0_0 .net/2u *"_ivl_4", 0 0, L_0x7f547236c4e0; 1 drivers
-v0x55e366ed6cd0_0 .net/2u *"_ivl_6", 0 0, L_0x7f547236c528; 1 drivers
-v0x55e366ed6db0_0 .net *"_ivl_8", 0 0, L_0x55e366f23cc0; 1 drivers
-v0x55e366ed6e90_0 .net "bcd", 11 0, L_0x55e366f29560; alias, 1 drivers
-v0x55e366ed6f70_0 .net "binary", 7 0, L_0x55e366f23080; alias, 1 drivers
-v0x55e366ed7050_0 .net "dab1", 3 0, L_0x55e366f247a0; 1 drivers
-v0x55e366ed7130_0 .net "dab2", 3 0, L_0x55e366f25500; 1 drivers
-v0x55e366ed7210_0 .net "dab3", 3 0, L_0x55e366f262e0; 1 drivers
-v0x55e366ed72f0_0 .net "dab4", 3 0, L_0x55e366f29e20; 1 drivers
-v0x55e366ed73d0_0 .net "dab5", 3 0, L_0x55e366f27a00; 1 drivers
-v0x55e366ed74b0_0 .net "empty1", 0 0, L_0x55e366f23a00; 1 drivers
-v0x55e366ed7550_0 .net "empty2", 0 0, L_0x55e366f23b60; 1 drivers
-L_0x55e366f245c0 .part L_0x55e366f23080, 7, 1;
-L_0x55e366f24660 .part L_0x55e366f23080, 6, 1;
-L_0x55e366f24700 .part L_0x55e366f23080, 5, 1;
-L_0x55e366f247a0 .concat8 [ 1 1 1 1], L_0x55e366f24200, L_0x55e366f24330, L_0x55e366f24490, L_0x55e366f24500;
-L_0x55e366f25110 .part L_0x55e366f247a0, 1, 1;
-L_0x55e366f25240 .part L_0x55e366f247a0, 2, 1;
-L_0x55e366f252e0 .part L_0x55e366f247a0, 3, 1;
-L_0x55e366f25410 .part L_0x55e366f23080, 4, 1;
-L_0x55e366f25500 .concat8 [ 1 1 1 1], L_0x55e366f24cf0, L_0x55e366f24e20, L_0x55e366f24f80, L_0x55e366f24ff0;
-L_0x55e366f25e70 .part L_0x55e366f25500, 1, 1;
-L_0x55e366f26000 .part L_0x55e366f25500, 2, 1;
-L_0x55e366f260a0 .part L_0x55e366f25500, 3, 1;
-L_0x55e366f26240 .part L_0x55e366f23080, 3, 1;
-L_0x55e366f262e0 .concat8 [ 1 1 1 1], L_0x55e366f25a50, L_0x55e366f25b80, L_0x55e366f25ce0, L_0x55e366f25d50;
-L_0x55e366f26ba0 .part L_0x55e366f247a0, 0, 1;
-L_0x55e366f26c40 .part L_0x55e366f25500, 0, 1;
-L_0x55e366f26d70 .part L_0x55e366f262e0, 0, 1;
-L_0x55e366f27640 .part L_0x55e366f262e0, 1, 1;
-L_0x55e366f27810 .part L_0x55e366f262e0, 2, 1;
-L_0x55e366f278b0 .part L_0x55e366f262e0, 3, 1;
-L_0x55e366f27770 .part L_0x55e366f23080, 2, 1;
-L_0x55e366f27a00 .concat8 [ 1 1 1 1], L_0x55e366f27220, L_0x55e366f27350, L_0x55e366f274b0, L_0x55e366f27520;
-L_0x55e366f28370 .part L_0x55e366f29e20, 1, 1;
-L_0x55e366f28410 .part L_0x55e366f29e20, 2, 1;
-L_0x55e366f28580 .part L_0x55e366f29e20, 3, 1;
-L_0x55e366f28620 .part L_0x55e366f27a00, 0, 1;
-L_0x55e366f28fd0 .part L_0x55e366f27a00, 1, 1;
-L_0x55e366f29100 .part L_0x55e366f27a00, 2, 1;
-L_0x55e366f29320 .part L_0x55e366f27a00, 3, 1;
-L_0x55e366f293c0 .part L_0x55e366f23080, 1, 1;
-LS_0x55e366f29560_0_0 .concat8 [ 1 1 1 1], L_0x55e366f29940, L_0x55e366f28eb0, L_0x55e366f28e40, L_0x55e366f28ce0;
-LS_0x55e366f29560_0_4 .concat8 [ 1 1 1 1], L_0x55e366f28bb0, L_0x55e366f28250, L_0x55e366f281e0, L_0x55e366f28080;
-LS_0x55e366f29560_0_8 .concat8 [ 1 1 1 1], L_0x55e366f27f50, L_0x55e366f267e0, L_0x55e366f23dd0, L_0x55e366f23cc0;
-L_0x55e366f29560 .concat8 [ 4 4 4 0], LS_0x55e366f29560_0_0, LS_0x55e366f29560_0_4, LS_0x55e366f29560_0_8;
-L_0x55e366f29a00 .part L_0x55e366f23080, 0, 1;
-L_0x55e366f29e20 .concat [ 1 1 1 1], o0x7f54723b9ab8, L_0x55e366f26910, L_0x55e366f26a70, L_0x55e366f26ae0;
-S_0x55e366ecf840 .scope module, "d1t" "dabble" 11 14, 12 1 0, S_0x55e366ecf690;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "C";
- .port_info 3 /INPUT 1 "D";
- .port_info 4 /OUTPUT 1 "X";
- .port_info 5 /OUTPUT 1 "Y";
- .port_info 6 /OUTPUT 1 "Z";
- .port_info 7 /OUTPUT 1 "E";
-L_0x55e366f23ee0 .functor XOR 1, L_0x55e366f23a00, L_0x55e366f24700, C4<0>, C4<0>;
-L_0x55e366f23f50 .functor NOR 1, L_0x55e366f23a00, L_0x55e366f245c0, C4<0>, C4<0>;
-L_0x55e366f23fc0 .functor XOR 1, L_0x55e366f23a00, L_0x55e366f24660, C4<0>, C4<0>;
-L_0x55e366f24030 .functor NOR 1, L_0x55e366f23ee0, L_0x55e366f23fc0, C4<0>, C4<0>;
-L_0x55e366f240f0 .functor NOR 1, L_0x55e366f24030, L_0x55e366f23f50, C4<0>, C4<0>;
-L_0x55e366f24200 .functor BUF 1, L_0x55e366f240f0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f242c0 .functor OR 1, L_0x55e366f23ee0, L_0x55e366f23f50, C4<0>, C4<0>;
-L_0x55e366f24330 .functor NOR 1, L_0x55e366f242c0, L_0x55e366f24660, C4<0>, C4<0>;
-L_0x55e366f24490 .functor AND 1, L_0x55e366f242c0, L_0x55e366f23fc0, C4<1>, C4<1>;
-L_0x55e366f24500 .functor XOR 1, L_0x55e366f240f0, L_0x55e366f24700, C4<0>, C4<0>;
-v0x55e366ecfb60_0 .net "A", 0 0, L_0x55e366f23a00; alias, 1 drivers
-v0x55e366ecfc40_0 .net "B", 0 0, L_0x55e366f245c0; 1 drivers
-v0x55e366ecfd00_0 .net "C", 0 0, L_0x55e366f24660; 1 drivers
-v0x55e366ecfda0_0 .net "D", 0 0, L_0x55e366f24700; 1 drivers
-v0x55e366ecfe60_0 .net "E", 0 0, L_0x55e366f24500; 1 drivers
-v0x55e366ecff70_0 .net "X", 0 0, L_0x55e366f24200; 1 drivers
-v0x55e366ed0030_0 .net "Y", 0 0, L_0x55e366f24330; 1 drivers
-v0x55e366ed00f0_0 .net "Z", 0 0, L_0x55e366f24490; 1 drivers
-v0x55e366ed01b0_0 .net "nor1", 0 0, L_0x55e366f23f50; 1 drivers
-v0x55e366ed0270_0 .net "nor2", 0 0, L_0x55e366f24030; 1 drivers
-v0x55e366ed0330_0 .net "nor3", 0 0, L_0x55e366f240f0; 1 drivers
-v0x55e366ed03f0_0 .net "or1", 0 0, L_0x55e366f242c0; 1 drivers
-v0x55e366ed04b0_0 .net "xor1", 0 0, L_0x55e366f23ee0; 1 drivers
-v0x55e366ed0570_0 .net "xor2", 0 0, L_0x55e366f23fc0; 1 drivers
-S_0x55e366ed0730 .scope module, "d2u" "dabble" 11 23, 12 1 0, S_0x55e366ecf690;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "C";
- .port_info 3 /INPUT 1 "D";
- .port_info 4 /OUTPUT 1 "X";
- .port_info 5 /OUTPUT 1 "Y";
- .port_info 6 /OUTPUT 1 "Z";
- .port_info 7 /OUTPUT 1 "E";
-L_0x55e366f24930 .functor XOR 1, L_0x55e366f25110, L_0x55e366f25410, C4<0>, C4<0>;
-L_0x55e366f249a0 .functor NOR 1, L_0x55e366f25110, L_0x55e366f25240, C4<0>, C4<0>;
-L_0x55e366f24a60 .functor XOR 1, L_0x55e366f25110, L_0x55e366f252e0, C4<0>, C4<0>;
-L_0x55e366f24ad0 .functor NOR 1, L_0x55e366f24930, L_0x55e366f24a60, C4<0>, C4<0>;
-L_0x55e366f24be0 .functor NOR 1, L_0x55e366f24ad0, L_0x55e366f249a0, C4<0>, C4<0>;
-L_0x55e366f24cf0 .functor BUF 1, L_0x55e366f24be0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f24db0 .functor OR 1, L_0x55e366f24930, L_0x55e366f249a0, C4<0>, C4<0>;
-L_0x55e366f24e20 .functor NOR 1, L_0x55e366f24db0, L_0x55e366f252e0, C4<0>, C4<0>;
-L_0x55e366f24f80 .functor AND 1, L_0x55e366f24db0, L_0x55e366f24a60, C4<1>, C4<1>;
-L_0x55e366f24ff0 .functor XOR 1, L_0x55e366f24be0, L_0x55e366f25410, C4<0>, C4<0>;
-v0x55e366ed0a00_0 .net "A", 0 0, L_0x55e366f25110; 1 drivers
-v0x55e366ed0ac0_0 .net "B", 0 0, L_0x55e366f25240; 1 drivers
-v0x55e366ed0b80_0 .net "C", 0 0, L_0x55e366f252e0; 1 drivers
-v0x55e366ed0c20_0 .net "D", 0 0, L_0x55e366f25410; 1 drivers
-v0x55e366ed0ce0_0 .net "E", 0 0, L_0x55e366f24ff0; 1 drivers
-v0x55e366ed0df0_0 .net "X", 0 0, L_0x55e366f24cf0; 1 drivers
-v0x55e366ed0eb0_0 .net "Y", 0 0, L_0x55e366f24e20; 1 drivers
-v0x55e366ed0f70_0 .net "Z", 0 0, L_0x55e366f24f80; 1 drivers
-v0x55e366ed1030_0 .net "nor1", 0 0, L_0x55e366f249a0; 1 drivers
-v0x55e366ed1180_0 .net "nor2", 0 0, L_0x55e366f24ad0; 1 drivers
-v0x55e366ed1240_0 .net "nor3", 0 0, L_0x55e366f24be0; 1 drivers
-v0x55e366ed1300_0 .net "or1", 0 0, L_0x55e366f24db0; 1 drivers
-v0x55e366ed13c0_0 .net "xor1", 0 0, L_0x55e366f24930; 1 drivers
-v0x55e366ed1480_0 .net "xor2", 0 0, L_0x55e366f24a60; 1 drivers
-S_0x55e366ed1640 .scope module, "d3v" "dabble" 11 32, 12 1 0, S_0x55e366ecf690;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "C";
- .port_info 3 /INPUT 1 "D";
- .port_info 4 /OUTPUT 1 "X";
- .port_info 5 /OUTPUT 1 "Y";
- .port_info 6 /OUTPUT 1 "Z";
- .port_info 7 /OUTPUT 1 "E";
-L_0x55e366f25690 .functor XOR 1, L_0x55e366f25e70, L_0x55e366f26240, C4<0>, C4<0>;
-L_0x55e366f25700 .functor NOR 1, L_0x55e366f25e70, L_0x55e366f26000, C4<0>, C4<0>;
-L_0x55e366f257c0 .functor XOR 1, L_0x55e366f25e70, L_0x55e366f260a0, C4<0>, C4<0>;
-L_0x55e366f25830 .functor NOR 1, L_0x55e366f25690, L_0x55e366f257c0, C4<0>, C4<0>;
-L_0x55e366f25940 .functor NOR 1, L_0x55e366f25830, L_0x55e366f25700, C4<0>, C4<0>;
-L_0x55e366f25a50 .functor BUF 1, L_0x55e366f25940, C4<0>, C4<0>, C4<0>;
-L_0x55e366f25b10 .functor OR 1, L_0x55e366f25690, L_0x55e366f25700, C4<0>, C4<0>;
-L_0x55e366f25b80 .functor NOR 1, L_0x55e366f25b10, L_0x55e366f260a0, C4<0>, C4<0>;
-L_0x55e366f25ce0 .functor AND 1, L_0x55e366f25b10, L_0x55e366f257c0, C4<1>, C4<1>;
-L_0x55e366f25d50 .functor XOR 1, L_0x55e366f25940, L_0x55e366f26240, C4<0>, C4<0>;
-v0x55e366ed18f0_0 .net "A", 0 0, L_0x55e366f25e70; 1 drivers
-v0x55e366ed19b0_0 .net "B", 0 0, L_0x55e366f26000; 1 drivers
-v0x55e366ed1a70_0 .net "C", 0 0, L_0x55e366f260a0; 1 drivers
-v0x55e366ed1b10_0 .net "D", 0 0, L_0x55e366f26240; 1 drivers
-v0x55e366ed1bd0_0 .net "E", 0 0, L_0x55e366f25d50; 1 drivers
-v0x55e366ed1ce0_0 .net "X", 0 0, L_0x55e366f25a50; 1 drivers
-v0x55e366ed1da0_0 .net "Y", 0 0, L_0x55e366f25b80; 1 drivers
-v0x55e366ed1e60_0 .net "Z", 0 0, L_0x55e366f25ce0; 1 drivers
-v0x55e366ed1f20_0 .net "nor1", 0 0, L_0x55e366f25700; 1 drivers
-v0x55e366ed2070_0 .net "nor2", 0 0, L_0x55e366f25830; 1 drivers
-v0x55e366ed2130_0 .net "nor3", 0 0, L_0x55e366f25940; 1 drivers
-v0x55e366ed21f0_0 .net "or1", 0 0, L_0x55e366f25b10; 1 drivers
-v0x55e366ed22b0_0 .net "xor1", 0 0, L_0x55e366f25690; 1 drivers
-v0x55e366ed2370_0 .net "xor2", 0 0, L_0x55e366f257c0; 1 drivers
-S_0x55e366ed2530 .scope module, "d4w" "dabble" 11 41, 12 1 0, S_0x55e366ecf690;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "C";
- .port_info 3 /INPUT 1 "D";
- .port_info 4 /OUTPUT 1 "X";
- .port_info 5 /OUTPUT 1 "Y";
- .port_info 6 /OUTPUT 1 "Z";
- .port_info 7 /OUTPUT 1 "E";
-L_0x55e366f261d0 .functor XOR 1, L_0x55e366f23b60, L_0x55e366f26d70, C4<0>, C4<0>;
-L_0x55e366f264a0 .functor NOR 1, L_0x55e366f23b60, L_0x55e366f26ba0, C4<0>, C4<0>;
-L_0x55e366f265a0 .functor XOR 1, L_0x55e366f23b60, L_0x55e366f26c40, C4<0>, C4<0>;
-L_0x55e366f26610 .functor NOR 1, L_0x55e366f261d0, L_0x55e366f265a0, C4<0>, C4<0>;
-L_0x55e366f266d0 .functor NOR 1, L_0x55e366f26610, L_0x55e366f264a0, C4<0>, C4<0>;
-L_0x55e366f267e0 .functor BUF 1, L_0x55e366f266d0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f268a0 .functor OR 1, L_0x55e366f261d0, L_0x55e366f264a0, C4<0>, C4<0>;
-L_0x55e366f26910 .functor NOR 1, L_0x55e366f268a0, L_0x55e366f26c40, C4<0>, C4<0>;
-L_0x55e366f26a70 .functor AND 1, L_0x55e366f268a0, L_0x55e366f265a0, C4<1>, C4<1>;
-L_0x55e366f26ae0 .functor XOR 1, L_0x55e366f266d0, L_0x55e366f26d70, C4<0>, C4<0>;
-v0x55e366ed27e0_0 .net "A", 0 0, L_0x55e366f23b60; alias, 1 drivers
-v0x55e366ed28c0_0 .net "B", 0 0, L_0x55e366f26ba0; 1 drivers
-v0x55e366ed2980_0 .net "C", 0 0, L_0x55e366f26c40; 1 drivers
-v0x55e366ed2a20_0 .net "D", 0 0, L_0x55e366f26d70; 1 drivers
-v0x55e366ed2ae0_0 .net "E", 0 0, L_0x55e366f26ae0; 1 drivers
-v0x55e366ed2bf0_0 .net "X", 0 0, L_0x55e366f267e0; 1 drivers
-v0x55e366ed2cb0_0 .net "Y", 0 0, L_0x55e366f26910; 1 drivers
-v0x55e366ed2d70_0 .net "Z", 0 0, L_0x55e366f26a70; 1 drivers
-v0x55e366ed2e30_0 .net "nor1", 0 0, L_0x55e366f264a0; 1 drivers
-v0x55e366ed2f80_0 .net "nor2", 0 0, L_0x55e366f26610; 1 drivers
-v0x55e366ed3040_0 .net "nor3", 0 0, L_0x55e366f266d0; 1 drivers
-v0x55e366ed3100_0 .net "or1", 0 0, L_0x55e366f268a0; 1 drivers
-v0x55e366ed31c0_0 .net "xor1", 0 0, L_0x55e366f261d0; 1 drivers
-v0x55e366ed3280_0 .net "xor2", 0 0, L_0x55e366f265a0; 1 drivers
-S_0x55e366ed3440 .scope module, "d5x" "dabble" 11 50, 12 1 0, S_0x55e366ecf690;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "C";
- .port_info 3 /INPUT 1 "D";
- .port_info 4 /OUTPUT 1 "X";
- .port_info 5 /OUTPUT 1 "Y";
- .port_info 6 /OUTPUT 1 "Z";
- .port_info 7 /OUTPUT 1 "E";
-L_0x55e366f26e60 .functor XOR 1, L_0x55e366f27640, L_0x55e366f27770, C4<0>, C4<0>;
-L_0x55e366f26ed0 .functor NOR 1, L_0x55e366f27640, L_0x55e366f27810, C4<0>, C4<0>;
-L_0x55e366f26f90 .functor XOR 1, L_0x55e366f27640, L_0x55e366f278b0, C4<0>, C4<0>;
-L_0x55e366f27000 .functor NOR 1, L_0x55e366f26e60, L_0x55e366f26f90, C4<0>, C4<0>;
-L_0x55e366f27110 .functor NOR 1, L_0x55e366f27000, L_0x55e366f26ed0, C4<0>, C4<0>;
-L_0x55e366f27220 .functor BUF 1, L_0x55e366f27110, C4<0>, C4<0>, C4<0>;
-L_0x55e366f272e0 .functor OR 1, L_0x55e366f26e60, L_0x55e366f26ed0, C4<0>, C4<0>;
-L_0x55e366f27350 .functor NOR 1, L_0x55e366f272e0, L_0x55e366f278b0, C4<0>, C4<0>;
-L_0x55e366f274b0 .functor AND 1, L_0x55e366f272e0, L_0x55e366f26f90, C4<1>, C4<1>;
-L_0x55e366f27520 .functor XOR 1, L_0x55e366f27110, L_0x55e366f27770, C4<0>, C4<0>;
-v0x55e366ed3740_0 .net "A", 0 0, L_0x55e366f27640; 1 drivers
-v0x55e366ed3820_0 .net "B", 0 0, L_0x55e366f27810; 1 drivers
-v0x55e366ed38e0_0 .net "C", 0 0, L_0x55e366f278b0; 1 drivers
-v0x55e366ed3980_0 .net "D", 0 0, L_0x55e366f27770; 1 drivers
-v0x55e366ed3a40_0 .net "E", 0 0, L_0x55e366f27520; 1 drivers
-v0x55e366ed3b50_0 .net "X", 0 0, L_0x55e366f27220; 1 drivers
-v0x55e366ed3c10_0 .net "Y", 0 0, L_0x55e366f27350; 1 drivers
-v0x55e366ed3cd0_0 .net "Z", 0 0, L_0x55e366f274b0; 1 drivers
-v0x55e366ed3d90_0 .net "nor1", 0 0, L_0x55e366f26ed0; 1 drivers
-v0x55e366ed3ee0_0 .net "nor2", 0 0, L_0x55e366f27000; 1 drivers
-v0x55e366ed3fa0_0 .net "nor3", 0 0, L_0x55e366f27110; 1 drivers
-v0x55e366ed4060_0 .net "or1", 0 0, L_0x55e366f272e0; 1 drivers
-v0x55e366ed4120_0 .net "xor1", 0 0, L_0x55e366f26e60; 1 drivers
-v0x55e366ed41e0_0 .net "xor2", 0 0, L_0x55e366f26f90; 1 drivers
-S_0x55e366ed43a0 .scope module, "d6y" "dabble" 11 59, 12 1 0, S_0x55e366ecf690;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "C";
- .port_info 3 /INPUT 1 "D";
- .port_info 4 /OUTPUT 1 "X";
- .port_info 5 /OUTPUT 1 "Y";
- .port_info 6 /OUTPUT 1 "Z";
- .port_info 7 /OUTPUT 1 "E";
-L_0x55e366f27950 .functor XOR 1, L_0x55e366f28370, L_0x55e366f28620, C4<0>, C4<0>;
-L_0x55e366f27c00 .functor NOR 1, L_0x55e366f28370, L_0x55e366f28410, C4<0>, C4<0>;
-L_0x55e366f27cc0 .functor XOR 1, L_0x55e366f28370, L_0x55e366f28580, C4<0>, C4<0>;
-L_0x55e366f27d30 .functor NOR 1, L_0x55e366f27950, L_0x55e366f27cc0, C4<0>, C4<0>;
-L_0x55e366f27e40 .functor NOR 1, L_0x55e366f27d30, L_0x55e366f27c00, C4<0>, C4<0>;
-L_0x55e366f27f50 .functor BUF 1, L_0x55e366f27e40, C4<0>, C4<0>, C4<0>;
-L_0x55e366f28010 .functor OR 1, L_0x55e366f27950, L_0x55e366f27c00, C4<0>, C4<0>;
-L_0x55e366f28080 .functor NOR 1, L_0x55e366f28010, L_0x55e366f28580, C4<0>, C4<0>;
-L_0x55e366f281e0 .functor AND 1, L_0x55e366f28010, L_0x55e366f27cc0, C4<1>, C4<1>;
-L_0x55e366f28250 .functor XOR 1, L_0x55e366f27e40, L_0x55e366f28620, C4<0>, C4<0>;
-v0x55e366ed4650_0 .net "A", 0 0, L_0x55e366f28370; 1 drivers
-v0x55e366ed4730_0 .net "B", 0 0, L_0x55e366f28410; 1 drivers
-v0x55e366ed47f0_0 .net "C", 0 0, L_0x55e366f28580; 1 drivers
-v0x55e366ed4890_0 .net "D", 0 0, L_0x55e366f28620; 1 drivers
-v0x55e366ed4950_0 .net "E", 0 0, L_0x55e366f28250; 1 drivers
-v0x55e366ed4a60_0 .net "X", 0 0, L_0x55e366f27f50; 1 drivers
-v0x55e366ed4b20_0 .net "Y", 0 0, L_0x55e366f28080; 1 drivers
-v0x55e366ed4be0_0 .net "Z", 0 0, L_0x55e366f281e0; 1 drivers
-v0x55e366ed4ca0_0 .net "nor1", 0 0, L_0x55e366f27c00; 1 drivers
-v0x55e366ed4df0_0 .net "nor2", 0 0, L_0x55e366f27d30; 1 drivers
-v0x55e366ed4eb0_0 .net "nor3", 0 0, L_0x55e366f27e40; 1 drivers
-v0x55e366ed4f70_0 .net "or1", 0 0, L_0x55e366f28010; 1 drivers
-v0x55e366ed5030_0 .net "xor1", 0 0, L_0x55e366f27950; 1 drivers
-v0x55e366ed50f0_0 .net "xor2", 0 0, L_0x55e366f27cc0; 1 drivers
-S_0x55e366ed52b0 .scope module, "d7z" "dabble" 11 68, 12 1 0, S_0x55e366ecf690;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "C";
- .port_info 3 /INPUT 1 "D";
- .port_info 4 /OUTPUT 1 "X";
- .port_info 5 /OUTPUT 1 "Y";
- .port_info 6 /OUTPUT 1 "Z";
- .port_info 7 /OUTPUT 1 "E";
-L_0x55e366f287f0 .functor XOR 1, L_0x55e366f28fd0, L_0x55e366f293c0, C4<0>, C4<0>;
-L_0x55e366f28860 .functor NOR 1, L_0x55e366f28fd0, L_0x55e366f29100, C4<0>, C4<0>;
-L_0x55e366f28920 .functor XOR 1, L_0x55e366f28fd0, L_0x55e366f29320, C4<0>, C4<0>;
-L_0x55e366f28990 .functor NOR 1, L_0x55e366f287f0, L_0x55e366f28920, C4<0>, C4<0>;
-L_0x55e366f28aa0 .functor NOR 1, L_0x55e366f28990, L_0x55e366f28860, C4<0>, C4<0>;
-L_0x55e366f28bb0 .functor BUF 1, L_0x55e366f28aa0, C4<0>, C4<0>, C4<0>;
-L_0x55e366f28c70 .functor OR 1, L_0x55e366f287f0, L_0x55e366f28860, C4<0>, C4<0>;
-L_0x55e366f28ce0 .functor NOR 1, L_0x55e366f28c70, L_0x55e366f29320, C4<0>, C4<0>;
-L_0x55e366f28e40 .functor AND 1, L_0x55e366f28c70, L_0x55e366f28920, C4<1>, C4<1>;
-L_0x55e366f28eb0 .functor XOR 1, L_0x55e366f28aa0, L_0x55e366f293c0, C4<0>, C4<0>;
-v0x55e366ed5560_0 .net "A", 0 0, L_0x55e366f28fd0; 1 drivers
-v0x55e366ed5640_0 .net "B", 0 0, L_0x55e366f29100; 1 drivers
-v0x55e366ed5700_0 .net "C", 0 0, L_0x55e366f29320; 1 drivers
-v0x55e366ed57a0_0 .net "D", 0 0, L_0x55e366f293c0; 1 drivers
-v0x55e366ed5860_0 .net "E", 0 0, L_0x55e366f28eb0; 1 drivers
-v0x55e366ed5970_0 .net "X", 0 0, L_0x55e366f28bb0; 1 drivers
-v0x55e366ed5a30_0 .net "Y", 0 0, L_0x55e366f28ce0; 1 drivers
-v0x55e366ed5af0_0 .net "Z", 0 0, L_0x55e366f28e40; 1 drivers
-v0x55e366ed5bb0_0 .net "nor1", 0 0, L_0x55e366f28860; 1 drivers
-v0x55e366ed5d00_0 .net "nor2", 0 0, L_0x55e366f28990; 1 drivers
-v0x55e366ed5dc0_0 .net "nor3", 0 0, L_0x55e366f28aa0; 1 drivers
-v0x55e366ed5e80_0 .net "or1", 0 0, L_0x55e366f28c70; 1 drivers
-v0x55e366ed5f40_0 .net "xor1", 0 0, L_0x55e366f287f0; 1 drivers
-v0x55e366ed6000_0 .net "xor2", 0 0, L_0x55e366f28920; 1 drivers
-S_0x55e366ed7610 .scope module, "lU" "logicUnit" 3 21, 13 1 0, S_0x55e366eb6430;
- .timescale 0 0;
- .port_info 0 /INPUT 3 "opCode";
- .port_info 1 /INPUT 4 "A";
- .port_info 2 /INPUT 4 "B";
- .port_info 3 /OUTPUT 4 "resultA";
- .port_info 4 /OUTPUT 4 "resultO";
- .port_info 5 /OUTPUT 4 "resultX";
-L_0x55e366f06740 .functor AND 1, L_0x55e366f067b0, L_0x55e366f068a0, C4<1>, C4<1>;
-L_0x55e366f06990 .functor AND 1, L_0x55e366f06a00, L_0x55e366f06af0, C4<1>, C4<1>;
-L_0x55e366f06df0 .functor AND 1, L_0x55e366f06e60, L_0x55e366f06f50, C4<1>, C4<1>;
-L_0x55e366f07210 .functor AND 1, L_0x55e366f072d0, L_0x55e366f07410, C4<1>, C4<1>;
-L_0x55e366f07500 .functor OR 1, L_0x55e366f07570, L_0x55e366f076c0, C4<0>, C4<0>;
-L_0x55e366f07760 .functor OR 1, L_0x55e366f077d0, L_0x55e366f07930, C4<0>, C4<0>;
-L_0x55e366f07a20 .functor OR 1, L_0x55e366f07a90, L_0x55e366f07c00, C4<0>, C4<0>;
-L_0x55e366f078c0 .functor OR 1, L_0x55e366f07fb0, L_0x55e366f080a0, C4<0>, C4<0>;
-L_0x55e366f08230 .functor XOR 1, L_0x55e366f082a0, L_0x55e366f08390, C4<0>, C4<0>;
-L_0x55e366f08530 .functor XOR 1, L_0x55e366f08190, L_0x55e366f08600, C4<0>, C4<0>;
-L_0x55e366f087b0 .functor XOR 1, L_0x55e366f08820, L_0x55e366f08910, C4<0>, C4<0>;
-L_0x55e366f08c60 .functor XOR 1, L_0x55e366f08d90, L_0x55e366f08f60, C4<0>, C4<0>;
-L_0x55e366f09050 .functor AND 1, L_0x55e366f090c0, L_0x55e366f092a0, C4<1>, C4<1>;
-L_0x55e366f093e0 .functor AND 1, L_0x55e366f094d0, L_0x55e366f09710, C4<1>, C4<1>;
-L_0x55e366f08d20 .functor AND 1, L_0x55e366f09800, L_0x55e366f09a00, C4<1>, C4<1>;
-L_0x55e366f09da0 .functor AND 1, L_0x55e366f09ef0, L_0x55e366f09fe0, C4<1>, C4<1>;
-L_0x55e366f09c80 .functor AND 1, L_0x55e366f09cf0, L_0x55e366f0a200, C4<1>, C4<1>;
-L_0x55e366f0a480 .functor AND 1, L_0x55e366f0a590, L_0x55e366f0a680, C4<1>, C4<1>;
-L_0x55e366f0a8c0 .functor AND 1, L_0x55e366f0a930, L_0x55e366f0aa20, C4<1>, C4<1>;
-L_0x55e366f0ae00 .functor AND 1, L_0x55e366f0a4f0, L_0x55e366f0b130, C4<1>, C4<1>;
-L_0x55e366f0b220 .functor AND 1, L_0x55e366f0b290, L_0x55e366f0b500, C4<1>, C4<1>;
-L_0x55e366f0b640 .functor AND 1, L_0x55e366f0b770, L_0x55e366f0b9f0, C4<1>, C4<1>;
-L_0x55e366f0bae0 .functor AND 1, L_0x55e366f0bb50, L_0x55e366f0bde0, C4<1>, C4<1>;
-L_0x55e366f0c210 .functor AND 1, L_0x55e366f0c3a0, L_0x55e366f0c490, C4<1>, C4<1>;
-v0x55e366ed7870_0 .net "A", 3 0, v0x55e366efdb20_0; alias, 1 drivers
-v0x55e366ed7910_0 .net "B", 3 0, v0x55e366efdc00_0; alias, 1 drivers
-v0x55e366ed79d0_0 .net *"_ivl_0", 0 0, L_0x55e366f06740; 1 drivers
-v0x55e366ed7ac0_0 .net *"_ivl_100", 0 0, L_0x55e366f09c80; 1 drivers
-v0x55e366ed7ba0_0 .net *"_ivl_103", 0 0, L_0x55e366f09cf0; 1 drivers
-v0x55e366ed7cd0_0 .net *"_ivl_105", 0 0, L_0x55e366f0a200; 1 drivers
-v0x55e366ed7db0_0 .net *"_ivl_106", 0 0, L_0x55e366f0a480; 1 drivers
-v0x55e366ed7e90_0 .net *"_ivl_109", 0 0, L_0x55e366f0a590; 1 drivers
-v0x55e366ed7f70_0 .net *"_ivl_11", 0 0, L_0x55e366f06af0; 1 drivers
-v0x55e366ed8050_0 .net *"_ivl_111", 0 0, L_0x55e366f0a680; 1 drivers
-v0x55e366ed8130_0 .net *"_ivl_112", 0 0, L_0x55e366f0a8c0; 1 drivers
-v0x55e366ed8210_0 .net *"_ivl_115", 0 0, L_0x55e366f0a930; 1 drivers
-v0x55e366ed82f0_0 .net *"_ivl_117", 0 0, L_0x55e366f0aa20; 1 drivers
-v0x55e366ed83d0_0 .net *"_ivl_118", 0 0, L_0x55e366f0ae00; 1 drivers
-v0x55e366ed84b0_0 .net *"_ivl_12", 0 0, L_0x55e366f06df0; 1 drivers
-v0x55e366ed8590_0 .net *"_ivl_122", 0 0, L_0x55e366f0a4f0; 1 drivers
-v0x55e366ed8670_0 .net *"_ivl_124", 0 0, L_0x55e366f0b130; 1 drivers
-v0x55e366ed8750_0 .net *"_ivl_125", 0 0, L_0x55e366f0b220; 1 drivers
-v0x55e366ed8830_0 .net *"_ivl_128", 0 0, L_0x55e366f0b290; 1 drivers
-v0x55e366ed8910_0 .net *"_ivl_130", 0 0, L_0x55e366f0b500; 1 drivers
-v0x55e366ed89f0_0 .net *"_ivl_131", 0 0, L_0x55e366f0b640; 1 drivers
-v0x55e366ed8ad0_0 .net *"_ivl_134", 0 0, L_0x55e366f0b770; 1 drivers
-v0x55e366ed8bb0_0 .net *"_ivl_136", 0 0, L_0x55e366f0b9f0; 1 drivers
-v0x55e366ed8c90_0 .net *"_ivl_137", 0 0, L_0x55e366f0bae0; 1 drivers
-v0x55e366ed8d70_0 .net *"_ivl_140", 0 0, L_0x55e366f0bb50; 1 drivers
-v0x55e366ed8e50_0 .net *"_ivl_142", 0 0, L_0x55e366f0bde0; 1 drivers
-v0x55e366ed8f30_0 .net *"_ivl_143", 0 0, L_0x55e366f0c210; 1 drivers
-v0x55e366ed9010_0 .net *"_ivl_147", 0 0, L_0x55e366f0c3a0; 1 drivers
-v0x55e366ed90f0_0 .net *"_ivl_149", 0 0, L_0x55e366f0c490; 1 drivers
-v0x55e366ed91d0_0 .net *"_ivl_15", 0 0, L_0x55e366f06e60; 1 drivers
-v0x55e366ed92b0_0 .net *"_ivl_17", 0 0, L_0x55e366f06f50; 1 drivers
-v0x55e366ed9390_0 .net *"_ivl_18", 0 0, L_0x55e366f07210; 1 drivers
-v0x55e366ed9470_0 .net *"_ivl_22", 0 0, L_0x55e366f072d0; 1 drivers
-v0x55e366ed9760_0 .net *"_ivl_24", 0 0, L_0x55e366f07410; 1 drivers
-v0x55e366ed9840_0 .net *"_ivl_25", 0 0, L_0x55e366f07500; 1 drivers
-v0x55e366ed9920_0 .net *"_ivl_28", 0 0, L_0x55e366f07570; 1 drivers
-v0x55e366ed9a00_0 .net *"_ivl_3", 0 0, L_0x55e366f067b0; 1 drivers
-v0x55e366ed9ae0_0 .net *"_ivl_30", 0 0, L_0x55e366f076c0; 1 drivers
-v0x55e366ed9bc0_0 .net *"_ivl_31", 0 0, L_0x55e366f07760; 1 drivers
-v0x55e366ed9ca0_0 .net *"_ivl_34", 0 0, L_0x55e366f077d0; 1 drivers
-v0x55e366ed9d80_0 .net *"_ivl_36", 0 0, L_0x55e366f07930; 1 drivers
-v0x55e366ed9e60_0 .net *"_ivl_37", 0 0, L_0x55e366f07a20; 1 drivers
-v0x55e366ed9f40_0 .net *"_ivl_40", 0 0, L_0x55e366f07a90; 1 drivers
-v0x55e366eda020_0 .net *"_ivl_42", 0 0, L_0x55e366f07c00; 1 drivers
-v0x55e366eda100_0 .net *"_ivl_43", 0 0, L_0x55e366f078c0; 1 drivers
-v0x55e366eda1e0_0 .net *"_ivl_47", 0 0, L_0x55e366f07fb0; 1 drivers
-v0x55e366eda2c0_0 .net *"_ivl_49", 0 0, L_0x55e366f080a0; 1 drivers
-v0x55e366eda3a0_0 .net *"_ivl_5", 0 0, L_0x55e366f068a0; 1 drivers
-v0x55e366eda480_0 .net *"_ivl_50", 0 0, L_0x55e366f08230; 1 drivers
-v0x55e366eda560_0 .net *"_ivl_53", 0 0, L_0x55e366f082a0; 1 drivers
-v0x55e366eda640_0 .net *"_ivl_55", 0 0, L_0x55e366f08390; 1 drivers
-v0x55e366eda720_0 .net *"_ivl_56", 0 0, L_0x55e366f08530; 1 drivers
-v0x55e366eda800_0 .net *"_ivl_59", 0 0, L_0x55e366f08190; 1 drivers
-v0x55e366eda8e0_0 .net *"_ivl_6", 0 0, L_0x55e366f06990; 1 drivers
-v0x55e366eda9c0_0 .net *"_ivl_61", 0 0, L_0x55e366f08600; 1 drivers
-v0x55e366edaaa0_0 .net *"_ivl_62", 0 0, L_0x55e366f087b0; 1 drivers
-v0x55e366edab80_0 .net *"_ivl_65", 0 0, L_0x55e366f08820; 1 drivers
-v0x55e366edac60_0 .net *"_ivl_67", 0 0, L_0x55e366f08910; 1 drivers
-v0x55e366edad40_0 .net *"_ivl_68", 0 0, L_0x55e366f08c60; 1 drivers
-v0x55e366edae20_0 .net *"_ivl_72", 0 0, L_0x55e366f08d90; 1 drivers
-v0x55e366edaf00_0 .net *"_ivl_74", 0 0, L_0x55e366f08f60; 1 drivers
-v0x55e366edafe0_0 .net *"_ivl_75", 0 0, L_0x55e366f09050; 1 drivers
-v0x55e366edb0c0_0 .net *"_ivl_78", 0 0, L_0x55e366f090c0; 1 drivers
-v0x55e366edb1a0_0 .net *"_ivl_80", 0 0, L_0x55e366f092a0; 1 drivers
-v0x55e366edb280_0 .net *"_ivl_81", 0 0, L_0x55e366f093e0; 1 drivers
-v0x55e366edb770_0 .net *"_ivl_84", 0 0, L_0x55e366f094d0; 1 drivers
-v0x55e366edb850_0 .net *"_ivl_86", 0 0, L_0x55e366f09710; 1 drivers
-v0x55e366edb930_0 .net *"_ivl_87", 0 0, L_0x55e366f08d20; 1 drivers
-v0x55e366edba10_0 .net *"_ivl_9", 0 0, L_0x55e366f06a00; 1 drivers
-v0x55e366edbaf0_0 .net *"_ivl_90", 0 0, L_0x55e366f09800; 1 drivers
-v0x55e366edbbd0_0 .net *"_ivl_92", 0 0, L_0x55e366f09a00; 1 drivers
-v0x55e366edbcb0_0 .net *"_ivl_93", 0 0, L_0x55e366f09da0; 1 drivers
-v0x55e366edbd90_0 .net *"_ivl_97", 0 0, L_0x55e366f09ef0; 1 drivers
-v0x55e366edbe70_0 .net *"_ivl_99", 0 0, L_0x55e366f09fe0; 1 drivers
-v0x55e366edbf50_0 .net "and1", 3 0, L_0x55e366f07080; 1 drivers
-v0x55e366edc030_0 .net "opCode", 2 0, L_0x55e366f0c740; 1 drivers
-v0x55e366edc110_0 .net "or1", 3 0, L_0x55e366f07cf0; 1 drivers
-v0x55e366edc1f0_0 .net "resultA", 3 0, L_0x55e366f09af0; alias, 1 drivers
-v0x55e366edc2d0_0 .net "resultO", 3 0, L_0x55e366f0ac70; alias, 1 drivers
-v0x55e366edc3b0_0 .net "resultX", 3 0, L_0x55e366f0bed0; alias, 1 drivers
-v0x55e366edc490_0 .net "xor1", 3 0, L_0x55e366f08ad0; 1 drivers
-L_0x55e366f067b0 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f068a0 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f06a00 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f06af0 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f06e60 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f06f50 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f07080 .concat8 [ 1 1 1 1], L_0x55e366f06740, L_0x55e366f06990, L_0x55e366f06df0, L_0x55e366f07210;
-L_0x55e366f072d0 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f07410 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f07570 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f076c0 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f077d0 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f07930 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f07a90 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f07c00 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f07cf0 .concat8 [ 1 1 1 1], L_0x55e366f07500, L_0x55e366f07760, L_0x55e366f07a20, L_0x55e366f078c0;
-L_0x55e366f07fb0 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f080a0 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f082a0 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f08390 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f08190 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f08600 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f08820 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f08910 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f08ad0 .concat8 [ 1 1 1 1], L_0x55e366f08230, L_0x55e366f08530, L_0x55e366f087b0, L_0x55e366f08c60;
-L_0x55e366f08d90 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f08f60 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f090c0 .part L_0x55e366f0c740, 0, 1;
-L_0x55e366f092a0 .part L_0x55e366f07080, 0, 1;
-L_0x55e366f094d0 .part L_0x55e366f0c740, 0, 1;
-L_0x55e366f09710 .part L_0x55e366f07080, 1, 1;
-L_0x55e366f09800 .part L_0x55e366f0c740, 0, 1;
-L_0x55e366f09a00 .part L_0x55e366f07080, 2, 1;
-L_0x55e366f09af0 .concat8 [ 1 1 1 1], L_0x55e366f09050, L_0x55e366f093e0, L_0x55e366f08d20, L_0x55e366f09da0;
-L_0x55e366f09ef0 .part L_0x55e366f0c740, 0, 1;
-L_0x55e366f09fe0 .part L_0x55e366f07080, 3, 1;
-L_0x55e366f09cf0 .part L_0x55e366f0c740, 1, 1;
-L_0x55e366f0a200 .part L_0x55e366f07cf0, 0, 1;
-L_0x55e366f0a590 .part L_0x55e366f0c740, 1, 1;
-L_0x55e366f0a680 .part L_0x55e366f07cf0, 1, 1;
-L_0x55e366f0a930 .part L_0x55e366f0c740, 1, 1;
-L_0x55e366f0aa20 .part L_0x55e366f07cf0, 2, 1;
-L_0x55e366f0ac70 .concat8 [ 1 1 1 1], L_0x55e366f09c80, L_0x55e366f0a480, L_0x55e366f0a8c0, L_0x55e366f0ae00;
-L_0x55e366f0a4f0 .part L_0x55e366f0c740, 1, 1;
-L_0x55e366f0b130 .part L_0x55e366f07cf0, 3, 1;
-L_0x55e366f0b290 .part L_0x55e366f0c740, 2, 1;
-L_0x55e366f0b500 .part L_0x55e366f08ad0, 0, 1;
-L_0x55e366f0b770 .part L_0x55e366f0c740, 2, 1;
-L_0x55e366f0b9f0 .part L_0x55e366f08ad0, 1, 1;
-L_0x55e366f0bb50 .part L_0x55e366f0c740, 2, 1;
-L_0x55e366f0bde0 .part L_0x55e366f08ad0, 2, 1;
-L_0x55e366f0bed0 .concat8 [ 1 1 1 1], L_0x55e366f0b220, L_0x55e366f0b640, L_0x55e366f0bae0, L_0x55e366f0c210;
-L_0x55e366f0c3a0 .part L_0x55e366f0c740, 2, 1;
-L_0x55e366f0c490 .part L_0x55e366f08ad0, 3, 1;
-S_0x55e366edc630 .scope module, "mU" "multiplier" 3 22, 14 1 0, S_0x55e366eb6430;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /OUTPUT 8 "Y";
-L_0x55e366f0c870 .functor AND 1, L_0x55e366f0c8e0, L_0x55e366f0c980, C4<1>, C4<1>;
-L_0x55e366f0ca70 .functor AND 1, L_0x55e366f0cae0, L_0x55e366f0cbd0, C4<1>, C4<1>;
-L_0x55e366f0ccc0 .functor AND 1, L_0x55e366f0cd30, L_0x55e366f0ce20, C4<1>, C4<1>;
-L_0x55e366f0cf10 .functor AND 1, L_0x55e366f0cf80, L_0x55e366f0d070, C4<1>, C4<1>;
-L_0x7f547236c018 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f0d390 .functor NOT 1, L_0x7f547236c018, C4<0>, C4<0>, C4<0>;
-L_0x55e366f0d450 .functor AND 1, L_0x55e366f0d4c0, L_0x55e366f0d560, C4<1>, C4<1>;
-L_0x55e366f0d600 .functor AND 1, L_0x55e366f0d670, L_0x55e366f0d7d0, C4<1>, C4<1>;
-L_0x55e366f0dcd0 .functor AND 1, L_0x55e366f0dd40, L_0x55e366f0deb0, C4<1>, C4<1>;
-L_0x55e366f0d760 .functor AND 1, L_0x55e366f0e260, L_0x55e366f0e350, C4<1>, C4<1>;
-L_0x55e366f10400 .functor AND 1, L_0x55e366f107d0, L_0x55e366f0e440, C4<1>, C4<1>;
-L_0x55e366f10920 .functor AND 1, L_0x55e366f10990, L_0x55e366f10af0, C4<1>, C4<1>;
-L_0x55e366f10be0 .functor AND 1, L_0x55e366f10cc0, L_0x55e366f10e80, C4<1>, C4<1>;
-L_0x55e366f110f0 .functor AND 1, L_0x55e366f11160, L_0x55e366f11250, C4<1>, C4<1>;
-L_0x55e366f13470 .functor AND 1, L_0x55e366f13a60, L_0x55e366f13b00, C4<1>, C4<1>;
-L_0x55e366f10c50 .functor AND 1, L_0x55e366f13cb0, L_0x55e366f13d50, C4<1>, C4<1>;
-L_0x55e366f13f60 .functor AND 1, L_0x55e366f14060, L_0x55e366f14150, C4<1>, C4<1>;
-L_0x55e366f14460 .functor AND 1, L_0x55e366f14520, L_0x55e366f14750, C4<1>, C4<1>;
-L_0x7f547236c138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f16830 .functor OR 1, L_0x55e366f16e90, L_0x7f547236c138, C4<0>, C4<0>;
-L_0x7f547236c180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f17090 .functor OR 1, L_0x55e366f17100, L_0x7f547236c180, C4<0>, C4<0>;
-L_0x7f547236c1c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f17240 .functor OR 1, L_0x55e366f16df0, L_0x7f547236c1c8, C4<0>, C4<0>;
-L_0x7f547236c210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f175c0 .functor OR 1, L_0x55e366f17630, L_0x7f547236c210, C4<0>, C4<0>;
-L_0x7f547236c258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f17770 .functor OR 1, L_0x55e366f178a0, L_0x7f547236c258, C4<0>, C4<0>;
-L_0x7f547236c2a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f17ba0 .functor OR 1, L_0x55e366f17c10, L_0x7f547236c2a0, C4<0>, C4<0>;
-L_0x7f547236c2e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-L_0x55e366f18200 .functor OR 1, L_0x55e366f18390, L_0x7f547236c2e8, C4<0>, C4<0>;
-v0x55e366eeea90_0 .net "A", 3 0, v0x55e366efdb20_0; alias, 1 drivers
-v0x55e366eeeb70_0 .net "B", 3 0, v0x55e366efdc00_0; alias, 1 drivers
-v0x55e366eeecc0_0 .net "S0", 4 0, L_0x55e366f106a0; 1 drivers
-v0x55e366eeed80_0 .net "S1", 4 0, L_0x55e366f137b0; 1 drivers
-v0x55e366eeee60_0 .net "S2", 4 0, L_0x55e366f16cc0; 1 drivers
-v0x55e366eeef40_0 .net "Y", 7 0, L_0x55e366f17d00; alias, 1 drivers
-v0x55e366eef020_0 .net *"_ivl_1", 0 0, L_0x55e366f0c870; 1 drivers
-v0x55e366eef100_0 .net *"_ivl_10", 0 0, L_0x55e366f0cae0; 1 drivers
-v0x55e366eef1e0_0 .net *"_ivl_101", 0 0, L_0x55e366f13b00; 1 drivers
-v0x55e366eef350_0 .net *"_ivl_102", 0 0, L_0x55e366f10c50; 1 drivers
-v0x55e366eef430_0 .net *"_ivl_105", 0 0, L_0x55e366f13cb0; 1 drivers
-v0x55e366eef510_0 .net *"_ivl_107", 0 0, L_0x55e366f13d50; 1 drivers
-v0x55e366eef5f0_0 .net *"_ivl_108", 0 0, L_0x55e366f13f60; 1 drivers
-v0x55e366eef6d0_0 .net *"_ivl_111", 0 0, L_0x55e366f14060; 1 drivers
-v0x55e366eef7b0_0 .net *"_ivl_113", 0 0, L_0x55e366f14150; 1 drivers
-v0x55e366eef890_0 .net *"_ivl_114", 0 0, L_0x55e366f14460; 1 drivers
-v0x55e366eef970_0 .net *"_ivl_118", 0 0, L_0x55e366f14520; 1 drivers
-v0x55e366eefa50_0 .net *"_ivl_12", 0 0, L_0x55e366f0cbd0; 1 drivers
-v0x55e366eefb30_0 .net *"_ivl_120", 0 0, L_0x55e366f14750; 1 drivers
-v0x55e366eefc10_0 .net *"_ivl_13", 0 0, L_0x55e366f0ccc0; 1 drivers
-v0x55e366eefcf0_0 .net *"_ivl_130", 0 0, L_0x55e366f16830; 1 drivers
-v0x55e366eefdd0_0 .net *"_ivl_133", 0 0, L_0x55e366f16e90; 1 drivers
-v0x55e366eefeb0_0 .net/2u *"_ivl_134", 0 0, L_0x7f547236c138; 1 drivers
-v0x55e366eeff90_0 .net *"_ivl_136", 0 0, L_0x55e366f17090; 1 drivers
-v0x55e366ef0070_0 .net *"_ivl_139", 0 0, L_0x55e366f17100; 1 drivers
-v0x55e366ef0150_0 .net/2u *"_ivl_140", 0 0, L_0x7f547236c180; 1 drivers
-v0x55e366ef0230_0 .net *"_ivl_142", 0 0, L_0x55e366f17240; 1 drivers
-v0x55e366ef0310_0 .net *"_ivl_145", 0 0, L_0x55e366f16df0; 1 drivers
-v0x55e366ef03f0_0 .net/2u *"_ivl_146", 0 0, L_0x7f547236c1c8; 1 drivers
-v0x55e366ef04d0_0 .net *"_ivl_148", 0 0, L_0x55e366f175c0; 1 drivers
-v0x55e366ef05b0_0 .net *"_ivl_151", 0 0, L_0x55e366f17630; 1 drivers
-v0x55e366ef0690_0 .net/2u *"_ivl_152", 0 0, L_0x7f547236c210; 1 drivers
-v0x55e366ef0770_0 .net *"_ivl_154", 0 0, L_0x55e366f17770; 1 drivers
-v0x55e366ef0850_0 .net *"_ivl_157", 0 0, L_0x55e366f178a0; 1 drivers
-v0x55e366ef0930_0 .net/2u *"_ivl_158", 0 0, L_0x7f547236c258; 1 drivers
-v0x55e366ef0a10_0 .net *"_ivl_16", 0 0, L_0x55e366f0cd30; 1 drivers
-v0x55e366ef0af0_0 .net *"_ivl_160", 0 0, L_0x55e366f17ba0; 1 drivers
-v0x55e366ef0bd0_0 .net *"_ivl_163", 0 0, L_0x55e366f17c10; 1 drivers
-v0x55e366ef0cb0_0 .net/2u *"_ivl_164", 0 0, L_0x7f547236c2a0; 1 drivers
-v0x55e366ef0d90_0 .net *"_ivl_166", 0 0, L_0x55e366f18200; 1 drivers
-v0x55e366ef0e70_0 .net *"_ivl_170", 0 0, L_0x55e366f18390; 1 drivers
-v0x55e366ef0f50_0 .net/2u *"_ivl_171", 0 0, L_0x7f547236c2e8; 1 drivers
-v0x55e366ef1030_0 .net *"_ivl_18", 0 0, L_0x55e366f0ce20; 1 drivers
-v0x55e366ef1110_0 .net *"_ivl_19", 0 0, L_0x55e366f0cf10; 1 drivers
-v0x55e366ef11f0_0 .net *"_ivl_22", 0 0, L_0x55e366f0cf80; 1 drivers
-v0x55e366ef12d0_0 .net *"_ivl_24", 0 0, L_0x55e366f0d070; 1 drivers
-v0x55e366ef13b0_0 .net *"_ivl_25", 0 0, L_0x55e366f0d390; 1 drivers
-v0x55e366ef1490_0 .net/2u *"_ivl_28", 0 0, L_0x7f547236c018; 1 drivers
-v0x55e366ef1570_0 .net *"_ivl_30", 0 0, L_0x55e366f0d450; 1 drivers
-v0x55e366ef1650_0 .net *"_ivl_33", 0 0, L_0x55e366f0d4c0; 1 drivers
-v0x55e366ef1730_0 .net *"_ivl_35", 0 0, L_0x55e366f0d560; 1 drivers
-v0x55e366ef1810_0 .net *"_ivl_36", 0 0, L_0x55e366f0d600; 1 drivers
-v0x55e366ef18f0_0 .net *"_ivl_39", 0 0, L_0x55e366f0d670; 1 drivers
-v0x55e366ef19d0_0 .net *"_ivl_4", 0 0, L_0x55e366f0c8e0; 1 drivers
-v0x55e366ef1ab0_0 .net *"_ivl_41", 0 0, L_0x55e366f0d7d0; 1 drivers
-v0x55e366ef1b90_0 .net *"_ivl_42", 0 0, L_0x55e366f0dcd0; 1 drivers
-v0x55e366ef1c70_0 .net *"_ivl_45", 0 0, L_0x55e366f0dd40; 1 drivers
-v0x55e366ef1d50_0 .net *"_ivl_47", 0 0, L_0x55e366f0deb0; 1 drivers
-v0x55e366ef1e30_0 .net *"_ivl_48", 0 0, L_0x55e366f0d760; 1 drivers
-v0x55e366ef1f10_0 .net *"_ivl_52", 0 0, L_0x55e366f0e260; 1 drivers
-v0x55e366ef1ff0_0 .net *"_ivl_54", 0 0, L_0x55e366f0e350; 1 drivers
-v0x55e366ef20d0_0 .net *"_ivl_6", 0 0, L_0x55e366f0c980; 1 drivers
-v0x55e366ef21b0_0 .net *"_ivl_62", 0 0, L_0x55e366f10400; 1 drivers
-v0x55e366ef2290_0 .net *"_ivl_65", 0 0, L_0x55e366f107d0; 1 drivers
-v0x55e366ef2370_0 .net *"_ivl_67", 0 0, L_0x55e366f0e440; 1 drivers
-v0x55e366ef2860_0 .net *"_ivl_68", 0 0, L_0x55e366f10920; 1 drivers
-v0x55e366ef2940_0 .net *"_ivl_7", 0 0, L_0x55e366f0ca70; 1 drivers
-v0x55e366ef2a20_0 .net *"_ivl_71", 0 0, L_0x55e366f10990; 1 drivers
-v0x55e366ef2b00_0 .net *"_ivl_73", 0 0, L_0x55e366f10af0; 1 drivers
-v0x55e366ef2be0_0 .net *"_ivl_74", 0 0, L_0x55e366f10be0; 1 drivers
-v0x55e366ef2cc0_0 .net *"_ivl_77", 0 0, L_0x55e366f10cc0; 1 drivers
-v0x55e366ef2da0_0 .net *"_ivl_79", 0 0, L_0x55e366f10e80; 1 drivers
-v0x55e366ef2e80_0 .net *"_ivl_80", 0 0, L_0x55e366f110f0; 1 drivers
-v0x55e366ef2f60_0 .net *"_ivl_84", 0 0, L_0x55e366f11160; 1 drivers
-v0x55e366ef3040_0 .net *"_ivl_86", 0 0, L_0x55e366f11250; 1 drivers
-v0x55e366ef3120_0 .net *"_ivl_96", 0 0, L_0x55e366f13470; 1 drivers
-v0x55e366ef3200_0 .net *"_ivl_99", 0 0, L_0x55e366f13a60; 1 drivers
-v0x55e366ef32e0_0 .net "a0", 3 0, L_0x55e366f0dfa0; 1 drivers
-v0x55e366ef33a0_0 .net "a1", 3 0, L_0x55e366f10f70; 1 drivers
-v0x55e366ef3470_0 .net "a2", 3 0, L_0x55e366f13e40; 1 drivers
-v0x55e366ef3540_0 .net "b0", 3 0, L_0x55e366f0d1b0; 1 drivers
-v0x55e366ef3610_0 .net "overflow0", 0 0, L_0x55e366f10510; 1 drivers
-v0x55e366ef36e0_0 .net "overflow1", 0 0, L_0x55e366f13580; 1 drivers
-v0x55e366ef37b0_0 .net "overflow2", 0 0, L_0x55e366f16940; 1 drivers
-L_0x55e366f0c8e0 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f0c980 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f0cae0 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f0cbd0 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f0cd30 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f0ce20 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f0cf80 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f0d070 .part v0x55e366efdc00_0, 0, 1;
-L_0x55e366f0d1b0 .concat8 [ 1 1 1 1], L_0x55e366f0ca70, L_0x55e366f0ccc0, L_0x55e366f0cf10, L_0x55e366f0d390;
-L_0x55e366f0d4c0 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f0d560 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f0d670 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f0d7d0 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f0dd40 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f0deb0 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f0dfa0 .concat8 [ 1 1 1 1], L_0x55e366f0d450, L_0x55e366f0d600, L_0x55e366f0dcd0, L_0x55e366f0d760;
-L_0x55e366f0e260 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f0e350 .part v0x55e366efdc00_0, 1, 1;
-L_0x55e366f106a0 .concat8 [ 4 1 0 0], L_0x55e366f10470, L_0x55e366f0fec0;
-L_0x55e366f107d0 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f0e440 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f10990 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f10af0 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f10cc0 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f10e80 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f10f70 .concat8 [ 1 1 1 1], L_0x55e366f10400, L_0x55e366f10920, L_0x55e366f10be0, L_0x55e366f110f0;
-L_0x55e366f11160 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f11250 .part v0x55e366efdc00_0, 2, 1;
-L_0x55e366f13710 .part L_0x55e366f106a0, 1, 4;
-L_0x55e366f137b0 .concat8 [ 4 1 0 0], L_0x55e366f134e0, L_0x55e366f12f00;
-L_0x55e366f13a60 .part v0x55e366efdb20_0, 0, 1;
-L_0x55e366f13b00 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f13cb0 .part v0x55e366efdb20_0, 1, 1;
-L_0x55e366f13d50 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f14060 .part v0x55e366efdb20_0, 2, 1;
-L_0x55e366f14150 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f13e40 .concat8 [ 1 1 1 1], L_0x55e366f13470, L_0x55e366f10c50, L_0x55e366f13f60, L_0x55e366f14460;
-L_0x55e366f14520 .part v0x55e366efdb20_0, 3, 1;
-L_0x55e366f14750 .part v0x55e366efdc00_0, 3, 1;
-L_0x55e366f16ad0 .part L_0x55e366f137b0, 1, 4;
-L_0x55e366f16cc0 .concat8 [ 4 1 0 0], L_0x55e366f168a0, L_0x55e366f162c0;
-L_0x55e366f16e90 .part L_0x55e366f106a0, 0, 1;
-L_0x55e366f17100 .part L_0x55e366f137b0, 0, 1;
-L_0x55e366f16df0 .part L_0x55e366f16cc0, 0, 1;
-L_0x55e366f17630 .part L_0x55e366f16cc0, 1, 1;
-L_0x55e366f178a0 .part L_0x55e366f16cc0, 2, 1;
-L_0x55e366f17c10 .part L_0x55e366f16cc0, 3, 1;
-LS_0x55e366f17d00_0_0 .concat8 [ 1 1 1 1], L_0x55e366f0c870, L_0x55e366f16830, L_0x55e366f17090, L_0x55e366f17240;
-LS_0x55e366f17d00_0_4 .concat8 [ 1 1 1 1], L_0x55e366f175c0, L_0x55e366f17770, L_0x55e366f17ba0, L_0x55e366f18200;
-L_0x55e366f17d00 .concat8 [ 4 4 0 0], LS_0x55e366f17d00_0_0, LS_0x55e366f17d00_0_4;
-L_0x55e366f18390 .part L_0x55e366f16cc0, 4, 1;
-S_0x55e366edc830 .scope module, "add0" "addition" 14 26, 5 1 0, S_0x55e366edc630;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /INPUT 1 "CarryIN";
- .port_info 3 /OUTPUT 4 "Y";
- .port_info 4 /OUTPUT 1 "CarryOUT";
- .port_info 5 /OUTPUT 1 "overflow";
-L_0x55e366f10510 .functor XOR 1, L_0x55e366f10580, L_0x55e366f0fec0, C4<0>, C4<0>;
-v0x55e366ee2160_0 .net "A", 3 0, L_0x55e366f0dfa0; alias, 1 drivers
-v0x55e366ee2240_0 .net "B", 3 0, L_0x55e366f0d1b0; alias, 1 drivers
-v0x55e366ee2320_0 .net "Carry4", 2 0, L_0x55e366f0f9f0; 1 drivers
-L_0x7f547236c060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-v0x55e366ee23e0_0 .net "CarryIN", 0 0, L_0x7f547236c060; 1 drivers
-v0x55e366ee24d0_0 .net "CarryOUT", 0 0, L_0x55e366f0fec0; 1 drivers
-v0x55e366ee25c0_0 .net "Y", 3 0, L_0x55e366f10470; 1 drivers
-v0x55e366ee2680_0 .net *"_ivl_39", 0 0, L_0x55e366f10580; 1 drivers
-v0x55e366ee2760_0 .net "overflow", 0 0, L_0x55e366f10510; alias, 1 drivers
-L_0x55e366f0e8e0 .part L_0x55e366f0dfa0, 0, 1;
-L_0x55e366f0ea10 .part L_0x55e366f0d1b0, 0, 1;
-L_0x55e366f0eea0 .part L_0x55e366f0dfa0, 1, 1;
-L_0x55e366f0f060 .part L_0x55e366f0d1b0, 1, 1;
-L_0x55e366f0f220 .part L_0x55e366f0f9f0, 0, 1;
-L_0x55e366f0f610 .part L_0x55e366f0dfa0, 2, 1;
-L_0x55e366f0f740 .part L_0x55e366f0d1b0, 2, 1;
-L_0x55e366f0f870 .part L_0x55e366f0f9f0, 1, 1;
-L_0x55e366f0f9f0 .concat8 [ 1 1 1 0], L_0x55e366f0e870, L_0x55e366f0ee30, L_0x55e366f0f5a0;
-L_0x55e366f0ff80 .part L_0x55e366f0dfa0, 3, 1;
-L_0x55e366f10110 .part L_0x55e366f0d1b0, 3, 1;
-L_0x55e366f10240 .part L_0x55e366f0f9f0, 2, 1;
-L_0x55e366f10470 .concat8 [ 1 1 1 1], L_0x55e366f0e770, L_0x55e366f0ed70, L_0x55e366f0f530, L_0x55e366f0fe00;
-L_0x55e366f10580 .part L_0x55e366f0f9f0, 2, 1;
-S_0x55e366edcad0 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55e366edc830;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f0e870 .functor OR 1, L_0x55e366f0e4e0, L_0x55e366f0e6b0, C4<0>, C4<0>;
-v0x55e366edd9f0_0 .net "A", 0 0, L_0x55e366f0e8e0; 1 drivers
-v0x55e366eddab0_0 .net "B", 0 0, L_0x55e366f0ea10; 1 drivers
-v0x55e366eddb80_0 .net "Carry", 0 0, L_0x7f547236c060; alias, 1 drivers
-v0x55e366eddc80_0 .net "CarryO", 0 0, L_0x55e366f0e870; 1 drivers
-v0x55e366eddd20_0 .net "Sum", 0 0, L_0x55e366f0e770; 1 drivers
-v0x55e366edde10_0 .net "and1", 0 0, L_0x55e366f0e4e0; 1 drivers
-v0x55e366eddee0_0 .net "and2", 0 0, L_0x55e366f0e6b0; 1 drivers
-v0x55e366eddfb0_0 .net "xor1", 0 0, L_0x55e366f0e640; 1 drivers
-S_0x55e366edcd50 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366edcad0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0e4e0 .functor AND 1, L_0x55e366f0e8e0, L_0x55e366f0ea10, C4<1>, C4<1>;
-L_0x55e366f0e640 .functor XOR 1, L_0x55e366f0e8e0, L_0x55e366f0ea10, C4<0>, C4<0>;
-v0x55e366edcff0_0 .net "A", 0 0, L_0x55e366f0e8e0; alias, 1 drivers
-v0x55e366edd0d0_0 .net "B", 0 0, L_0x55e366f0ea10; alias, 1 drivers
-v0x55e366edd190_0 .net "Carry", 0 0, L_0x55e366f0e4e0; alias, 1 drivers
-v0x55e366edd260_0 .net "Sum", 0 0, L_0x55e366f0e640; alias, 1 drivers
-S_0x55e366edd3d0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366edcad0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0e6b0 .functor AND 1, L_0x55e366f0e640, L_0x7f547236c060, C4<1>, C4<1>;
-L_0x55e366f0e770 .functor XOR 1, L_0x55e366f0e640, L_0x7f547236c060, C4<0>, C4<0>;
-v0x55e366edd640_0 .net "A", 0 0, L_0x55e366f0e640; alias, 1 drivers
-v0x55e366edd710_0 .net "B", 0 0, L_0x7f547236c060; alias, 1 drivers
-v0x55e366edd7b0_0 .net "Carry", 0 0, L_0x55e366f0e6b0; alias, 1 drivers
-v0x55e366edd880_0 .net "Sum", 0 0, L_0x55e366f0e770; alias, 1 drivers
-S_0x55e366ede0a0 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55e366edc830;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f0ee30 .functor OR 1, L_0x55e366f0eb40, L_0x55e366f0ec20, C4<0>, C4<0>;
-v0x55e366edef80_0 .net "A", 0 0, L_0x55e366f0eea0; 1 drivers
-v0x55e366edf040_0 .net "B", 0 0, L_0x55e366f0f060; 1 drivers
-v0x55e366edf110_0 .net "Carry", 0 0, L_0x55e366f0f220; 1 drivers
-v0x55e366edf210_0 .net "CarryO", 0 0, L_0x55e366f0ee30; 1 drivers
-v0x55e366edf2b0_0 .net "Sum", 0 0, L_0x55e366f0ed70; 1 drivers
-v0x55e366edf3a0_0 .net "and1", 0 0, L_0x55e366f0eb40; 1 drivers
-v0x55e366edf470_0 .net "and2", 0 0, L_0x55e366f0ec20; 1 drivers
-v0x55e366edf540_0 .net "xor1", 0 0, L_0x55e366f0ebb0; 1 drivers
-S_0x55e366ede300 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ede0a0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0eb40 .functor AND 1, L_0x55e366f0eea0, L_0x55e366f0f060, C4<1>, C4<1>;
-L_0x55e366f0ebb0 .functor XOR 1, L_0x55e366f0eea0, L_0x55e366f0f060, C4<0>, C4<0>;
-v0x55e366ede580_0 .net "A", 0 0, L_0x55e366f0eea0; alias, 1 drivers
-v0x55e366ede660_0 .net "B", 0 0, L_0x55e366f0f060; alias, 1 drivers
-v0x55e366ede720_0 .net "Carry", 0 0, L_0x55e366f0eb40; alias, 1 drivers
-v0x55e366ede7f0_0 .net "Sum", 0 0, L_0x55e366f0ebb0; alias, 1 drivers
-S_0x55e366ede960 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ede0a0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0ec20 .functor AND 1, L_0x55e366f0ebb0, L_0x55e366f0f220, C4<1>, C4<1>;
-L_0x55e366f0ed70 .functor XOR 1, L_0x55e366f0ebb0, L_0x55e366f0f220, C4<0>, C4<0>;
-v0x55e366edebd0_0 .net "A", 0 0, L_0x55e366f0ebb0; alias, 1 drivers
-v0x55e366edeca0_0 .net "B", 0 0, L_0x55e366f0f220; alias, 1 drivers
-v0x55e366eded40_0 .net "Carry", 0 0, L_0x55e366f0ec20; alias, 1 drivers
-v0x55e366edee10_0 .net "Sum", 0 0, L_0x55e366f0ed70; alias, 1 drivers
-S_0x55e366edf630 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55e366edc830;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f0f5a0 .functor OR 1, L_0x55e366f0f350, L_0x55e366f0f430, C4<0>, C4<0>;
-v0x55e366ee0520_0 .net "A", 0 0, L_0x55e366f0f610; 1 drivers
-v0x55e366ee05e0_0 .net "B", 0 0, L_0x55e366f0f740; 1 drivers
-v0x55e366ee06b0_0 .net "Carry", 0 0, L_0x55e366f0f870; 1 drivers
-v0x55e366ee07b0_0 .net "CarryO", 0 0, L_0x55e366f0f5a0; 1 drivers
-v0x55e366ee0850_0 .net "Sum", 0 0, L_0x55e366f0f530; 1 drivers
-v0x55e366ee0940_0 .net "and1", 0 0, L_0x55e366f0f350; 1 drivers
-v0x55e366ee0a10_0 .net "and2", 0 0, L_0x55e366f0f430; 1 drivers
-v0x55e366ee0ae0_0 .net "xor1", 0 0, L_0x55e366f0f3c0; 1 drivers
-S_0x55e366edf8c0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366edf630;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0f350 .functor AND 1, L_0x55e366f0f610, L_0x55e366f0f740, C4<1>, C4<1>;
-L_0x55e366f0f3c0 .functor XOR 1, L_0x55e366f0f610, L_0x55e366f0f740, C4<0>, C4<0>;
-v0x55e366edfb40_0 .net "A", 0 0, L_0x55e366f0f610; alias, 1 drivers
-v0x55e366edfc00_0 .net "B", 0 0, L_0x55e366f0f740; alias, 1 drivers
-v0x55e366edfcc0_0 .net "Carry", 0 0, L_0x55e366f0f350; alias, 1 drivers
-v0x55e366edfd90_0 .net "Sum", 0 0, L_0x55e366f0f3c0; alias, 1 drivers
-S_0x55e366edff00 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366edf630;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0f430 .functor AND 1, L_0x55e366f0f3c0, L_0x55e366f0f870, C4<1>, C4<1>;
-L_0x55e366f0f530 .functor XOR 1, L_0x55e366f0f3c0, L_0x55e366f0f870, C4<0>, C4<0>;
-v0x55e366ee0170_0 .net "A", 0 0, L_0x55e366f0f3c0; alias, 1 drivers
-v0x55e366ee0240_0 .net "B", 0 0, L_0x55e366f0f870; alias, 1 drivers
-v0x55e366ee02e0_0 .net "Carry", 0 0, L_0x55e366f0f430; alias, 1 drivers
-v0x55e366ee03b0_0 .net "Sum", 0 0, L_0x55e366f0f530; alias, 1 drivers
-S_0x55e366ee0bd0 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55e366edc830;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f0fec0 .functor OR 1, L_0x55e366f0fae0, L_0x55e366f0fcb0, C4<0>, C4<0>;
-v0x55e366ee1ab0_0 .net "A", 0 0, L_0x55e366f0ff80; 1 drivers
-v0x55e366ee1b70_0 .net "B", 0 0, L_0x55e366f10110; 1 drivers
-v0x55e366ee1c40_0 .net "Carry", 0 0, L_0x55e366f10240; 1 drivers
-v0x55e366ee1d40_0 .net "CarryO", 0 0, L_0x55e366f0fec0; alias, 1 drivers
-v0x55e366ee1de0_0 .net "Sum", 0 0, L_0x55e366f0fe00; 1 drivers
-v0x55e366ee1ed0_0 .net "and1", 0 0, L_0x55e366f0fae0; 1 drivers
-v0x55e366ee1fa0_0 .net "and2", 0 0, L_0x55e366f0fcb0; 1 drivers
-v0x55e366ee2070_0 .net "xor1", 0 0, L_0x55e366f0fc40; 1 drivers
-S_0x55e366ee0e30 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ee0bd0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0fae0 .functor AND 1, L_0x55e366f0ff80, L_0x55e366f10110, C4<1>, C4<1>;
-L_0x55e366f0fc40 .functor XOR 1, L_0x55e366f0ff80, L_0x55e366f10110, C4<0>, C4<0>;
-v0x55e366ee10b0_0 .net "A", 0 0, L_0x55e366f0ff80; alias, 1 drivers
-v0x55e366ee1190_0 .net "B", 0 0, L_0x55e366f10110; alias, 1 drivers
-v0x55e366ee1250_0 .net "Carry", 0 0, L_0x55e366f0fae0; alias, 1 drivers
-v0x55e366ee1320_0 .net "Sum", 0 0, L_0x55e366f0fc40; alias, 1 drivers
-S_0x55e366ee1490 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ee0bd0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f0fcb0 .functor AND 1, L_0x55e366f0fc40, L_0x55e366f10240, C4<1>, C4<1>;
-L_0x55e366f0fe00 .functor XOR 1, L_0x55e366f0fc40, L_0x55e366f10240, C4<0>, C4<0>;
-v0x55e366ee1700_0 .net "A", 0 0, L_0x55e366f0fc40; alias, 1 drivers
-v0x55e366ee17d0_0 .net "B", 0 0, L_0x55e366f10240; alias, 1 drivers
-v0x55e366ee1870_0 .net "Carry", 0 0, L_0x55e366f0fcb0; alias, 1 drivers
-v0x55e366ee1940_0 .net "Sum", 0 0, L_0x55e366f0fe00; alias, 1 drivers
-S_0x55e366ee28e0 .scope module, "add1" "addition" 14 42, 5 1 0, S_0x55e366edc630;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /INPUT 1 "CarryIN";
- .port_info 3 /OUTPUT 4 "Y";
- .port_info 4 /OUTPUT 1 "CarryOUT";
- .port_info 5 /OUTPUT 1 "overflow";
-L_0x55e366f13580 .functor XOR 1, L_0x55e366f135f0, L_0x55e366f12f00, C4<0>, C4<0>;
-v0x55e366ee81f0_0 .net "A", 3 0, L_0x55e366f10f70; alias, 1 drivers
-v0x55e366ee82d0_0 .net "B", 3 0, L_0x55e366f13710; 1 drivers
-v0x55e366ee83b0_0 .net "Carry4", 2 0, L_0x55e366f12a30; 1 drivers
-L_0x7f547236c0a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-v0x55e366ee8470_0 .net "CarryIN", 0 0, L_0x7f547236c0a8; 1 drivers
-v0x55e366ee8560_0 .net "CarryOUT", 0 0, L_0x55e366f12f00; 1 drivers
-v0x55e366ee8650_0 .net "Y", 3 0, L_0x55e366f134e0; 1 drivers
-v0x55e366ee8710_0 .net *"_ivl_39", 0 0, L_0x55e366f135f0; 1 drivers
-v0x55e366ee87f0_0 .net "overflow", 0 0, L_0x55e366f13580; alias, 1 drivers
-L_0x55e366f118c0 .part L_0x55e366f10f70, 0, 1;
-L_0x55e366f119f0 .part L_0x55e366f13710, 0, 1;
-L_0x55e366f11e80 .part L_0x55e366f10f70, 1, 1;
-L_0x55e366f12040 .part L_0x55e366f13710, 1, 1;
-L_0x55e366f12170 .part L_0x55e366f12a30, 0, 1;
-L_0x55e366f12650 .part L_0x55e366f10f70, 2, 1;
-L_0x55e366f12780 .part L_0x55e366f13710, 2, 1;
-L_0x55e366f128b0 .part L_0x55e366f12a30, 1, 1;
-L_0x55e366f12a30 .concat8 [ 1 1 1 0], L_0x55e366f11850, L_0x55e366f11e10, L_0x55e366f125e0;
-L_0x55e366f12fc0 .part L_0x55e366f10f70, 3, 1;
-L_0x55e366f130f0 .part L_0x55e366f13710, 3, 1;
-L_0x55e366f132b0 .part L_0x55e366f12a30, 2, 1;
-L_0x55e366f134e0 .concat8 [ 1 1 1 1], L_0x55e366f11750, L_0x55e366f11d50, L_0x55e366f12520, L_0x55e366f12e40;
-L_0x55e366f135f0 .part L_0x55e366f12a30, 2, 1;
-S_0x55e366ee2b80 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55e366ee28e0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f11850 .functor OR 1, L_0x55e366f11430, L_0x55e366f11600, C4<0>, C4<0>;
-v0x55e366ee3a80_0 .net "A", 0 0, L_0x55e366f118c0; 1 drivers
-v0x55e366ee3b40_0 .net "B", 0 0, L_0x55e366f119f0; 1 drivers
-v0x55e366ee3c10_0 .net "Carry", 0 0, L_0x7f547236c0a8; alias, 1 drivers
-v0x55e366ee3d10_0 .net "CarryO", 0 0, L_0x55e366f11850; 1 drivers
-v0x55e366ee3db0_0 .net "Sum", 0 0, L_0x55e366f11750; 1 drivers
-v0x55e366ee3ea0_0 .net "and1", 0 0, L_0x55e366f11430; 1 drivers
-v0x55e366ee3f70_0 .net "and2", 0 0, L_0x55e366f11600; 1 drivers
-v0x55e366ee4040_0 .net "xor1", 0 0, L_0x55e366f11590; 1 drivers
-S_0x55e366ee2de0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ee2b80;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f11430 .functor AND 1, L_0x55e366f118c0, L_0x55e366f119f0, C4<1>, C4<1>;
-L_0x55e366f11590 .functor XOR 1, L_0x55e366f118c0, L_0x55e366f119f0, C4<0>, C4<0>;
-v0x55e366ee3080_0 .net "A", 0 0, L_0x55e366f118c0; alias, 1 drivers
-v0x55e366ee3160_0 .net "B", 0 0, L_0x55e366f119f0; alias, 1 drivers
-v0x55e366ee3220_0 .net "Carry", 0 0, L_0x55e366f11430; alias, 1 drivers
-v0x55e366ee32f0_0 .net "Sum", 0 0, L_0x55e366f11590; alias, 1 drivers
-S_0x55e366ee3460 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ee2b80;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f11600 .functor AND 1, L_0x55e366f11590, L_0x7f547236c0a8, C4<1>, C4<1>;
-L_0x55e366f11750 .functor XOR 1, L_0x55e366f11590, L_0x7f547236c0a8, C4<0>, C4<0>;
-v0x55e366ee36d0_0 .net "A", 0 0, L_0x55e366f11590; alias, 1 drivers
-v0x55e366ee37a0_0 .net "B", 0 0, L_0x7f547236c0a8; alias, 1 drivers
-v0x55e366ee3840_0 .net "Carry", 0 0, L_0x55e366f11600; alias, 1 drivers
-v0x55e366ee3910_0 .net "Sum", 0 0, L_0x55e366f11750; alias, 1 drivers
-S_0x55e366ee4130 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55e366ee28e0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f11e10 .functor OR 1, L_0x55e366f11b20, L_0x55e366f11c00, C4<0>, C4<0>;
-v0x55e366ee5010_0 .net "A", 0 0, L_0x55e366f11e80; 1 drivers
-v0x55e366ee50d0_0 .net "B", 0 0, L_0x55e366f12040; 1 drivers
-v0x55e366ee51a0_0 .net "Carry", 0 0, L_0x55e366f12170; 1 drivers
-v0x55e366ee52a0_0 .net "CarryO", 0 0, L_0x55e366f11e10; 1 drivers
-v0x55e366ee5340_0 .net "Sum", 0 0, L_0x55e366f11d50; 1 drivers
-v0x55e366ee5430_0 .net "and1", 0 0, L_0x55e366f11b20; 1 drivers
-v0x55e366ee5500_0 .net "and2", 0 0, L_0x55e366f11c00; 1 drivers
-v0x55e366ee55d0_0 .net "xor1", 0 0, L_0x55e366f11b90; 1 drivers
-S_0x55e366ee4390 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ee4130;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f11b20 .functor AND 1, L_0x55e366f11e80, L_0x55e366f12040, C4<1>, C4<1>;
-L_0x55e366f11b90 .functor XOR 1, L_0x55e366f11e80, L_0x55e366f12040, C4<0>, C4<0>;
-v0x55e366ee4610_0 .net "A", 0 0, L_0x55e366f11e80; alias, 1 drivers
-v0x55e366ee46f0_0 .net "B", 0 0, L_0x55e366f12040; alias, 1 drivers
-v0x55e366ee47b0_0 .net "Carry", 0 0, L_0x55e366f11b20; alias, 1 drivers
-v0x55e366ee4880_0 .net "Sum", 0 0, L_0x55e366f11b90; alias, 1 drivers
-S_0x55e366ee49f0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ee4130;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f11c00 .functor AND 1, L_0x55e366f11b90, L_0x55e366f12170, C4<1>, C4<1>;
-L_0x55e366f11d50 .functor XOR 1, L_0x55e366f11b90, L_0x55e366f12170, C4<0>, C4<0>;
-v0x55e366ee4c60_0 .net "A", 0 0, L_0x55e366f11b90; alias, 1 drivers
-v0x55e366ee4d30_0 .net "B", 0 0, L_0x55e366f12170; alias, 1 drivers
-v0x55e366ee4dd0_0 .net "Carry", 0 0, L_0x55e366f11c00; alias, 1 drivers
-v0x55e366ee4ea0_0 .net "Sum", 0 0, L_0x55e366f11d50; alias, 1 drivers
-S_0x55e366ee56c0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55e366ee28e0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f125e0 .functor OR 1, L_0x55e366f122a0, L_0x55e366f123d0, C4<0>, C4<0>;
-v0x55e366ee65b0_0 .net "A", 0 0, L_0x55e366f12650; 1 drivers
-v0x55e366ee6670_0 .net "B", 0 0, L_0x55e366f12780; 1 drivers
-v0x55e366ee6740_0 .net "Carry", 0 0, L_0x55e366f128b0; 1 drivers
-v0x55e366ee6840_0 .net "CarryO", 0 0, L_0x55e366f125e0; 1 drivers
-v0x55e366ee68e0_0 .net "Sum", 0 0, L_0x55e366f12520; 1 drivers
-v0x55e366ee69d0_0 .net "and1", 0 0, L_0x55e366f122a0; 1 drivers
-v0x55e366ee6aa0_0 .net "and2", 0 0, L_0x55e366f123d0; 1 drivers
-v0x55e366ee6b70_0 .net "xor1", 0 0, L_0x55e366f12360; 1 drivers
-S_0x55e366ee5950 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ee56c0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f122a0 .functor AND 1, L_0x55e366f12650, L_0x55e366f12780, C4<1>, C4<1>;
-L_0x55e366f12360 .functor XOR 1, L_0x55e366f12650, L_0x55e366f12780, C4<0>, C4<0>;
-v0x55e366ee5bd0_0 .net "A", 0 0, L_0x55e366f12650; alias, 1 drivers
-v0x55e366ee5c90_0 .net "B", 0 0, L_0x55e366f12780; alias, 1 drivers
-v0x55e366ee5d50_0 .net "Carry", 0 0, L_0x55e366f122a0; alias, 1 drivers
-v0x55e366ee5e20_0 .net "Sum", 0 0, L_0x55e366f12360; alias, 1 drivers
-S_0x55e366ee5f90 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ee56c0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f123d0 .functor AND 1, L_0x55e366f12360, L_0x55e366f128b0, C4<1>, C4<1>;
-L_0x55e366f12520 .functor XOR 1, L_0x55e366f12360, L_0x55e366f128b0, C4<0>, C4<0>;
-v0x55e366ee6200_0 .net "A", 0 0, L_0x55e366f12360; alias, 1 drivers
-v0x55e366ee62d0_0 .net "B", 0 0, L_0x55e366f128b0; alias, 1 drivers
-v0x55e366ee6370_0 .net "Carry", 0 0, L_0x55e366f123d0; alias, 1 drivers
-v0x55e366ee6440_0 .net "Sum", 0 0, L_0x55e366f12520; alias, 1 drivers
-S_0x55e366ee6c60 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55e366ee28e0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f12f00 .functor OR 1, L_0x55e366f12b20, L_0x55e366f12cf0, C4<0>, C4<0>;
-v0x55e366ee7b40_0 .net "A", 0 0, L_0x55e366f12fc0; 1 drivers
-v0x55e366ee7c00_0 .net "B", 0 0, L_0x55e366f130f0; 1 drivers
-v0x55e366ee7cd0_0 .net "Carry", 0 0, L_0x55e366f132b0; 1 drivers
-v0x55e366ee7dd0_0 .net "CarryO", 0 0, L_0x55e366f12f00; alias, 1 drivers
-v0x55e366ee7e70_0 .net "Sum", 0 0, L_0x55e366f12e40; 1 drivers
-v0x55e366ee7f60_0 .net "and1", 0 0, L_0x55e366f12b20; 1 drivers
-v0x55e366ee8030_0 .net "and2", 0 0, L_0x55e366f12cf0; 1 drivers
-v0x55e366ee8100_0 .net "xor1", 0 0, L_0x55e366f12c80; 1 drivers
-S_0x55e366ee6ec0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ee6c60;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f12b20 .functor AND 1, L_0x55e366f12fc0, L_0x55e366f130f0, C4<1>, C4<1>;
-L_0x55e366f12c80 .functor XOR 1, L_0x55e366f12fc0, L_0x55e366f130f0, C4<0>, C4<0>;
-v0x55e366ee7140_0 .net "A", 0 0, L_0x55e366f12fc0; alias, 1 drivers
-v0x55e366ee7220_0 .net "B", 0 0, L_0x55e366f130f0; alias, 1 drivers
-v0x55e366ee72e0_0 .net "Carry", 0 0, L_0x55e366f12b20; alias, 1 drivers
-v0x55e366ee73b0_0 .net "Sum", 0 0, L_0x55e366f12c80; alias, 1 drivers
-S_0x55e366ee7520 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ee6c60;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f12cf0 .functor AND 1, L_0x55e366f12c80, L_0x55e366f132b0, C4<1>, C4<1>;
-L_0x55e366f12e40 .functor XOR 1, L_0x55e366f12c80, L_0x55e366f132b0, C4<0>, C4<0>;
-v0x55e366ee7790_0 .net "A", 0 0, L_0x55e366f12c80; alias, 1 drivers
-v0x55e366ee7860_0 .net "B", 0 0, L_0x55e366f132b0; alias, 1 drivers
-v0x55e366ee7900_0 .net "Carry", 0 0, L_0x55e366f12cf0; alias, 1 drivers
-v0x55e366ee79d0_0 .net "Sum", 0 0, L_0x55e366f12e40; alias, 1 drivers
-S_0x55e366ee89b0 .scope module, "add2" "addition" 14 58, 5 1 0, S_0x55e366edc630;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /INPUT 1 "CarryIN";
- .port_info 3 /OUTPUT 4 "Y";
- .port_info 4 /OUTPUT 1 "CarryOUT";
- .port_info 5 /OUTPUT 1 "overflow";
-L_0x55e366f16940 .functor XOR 1, L_0x55e366f169b0, L_0x55e366f162c0, C4<0>, C4<0>;
-v0x55e366eee2d0_0 .net "A", 3 0, L_0x55e366f13e40; alias, 1 drivers
-v0x55e366eee3b0_0 .net "B", 3 0, L_0x55e366f16ad0; 1 drivers
-v0x55e366eee490_0 .net "Carry4", 2 0, L_0x55e366f15df0; 1 drivers
-L_0x7f547236c0f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
-v0x55e366eee550_0 .net "CarryIN", 0 0, L_0x7f547236c0f0; 1 drivers
-v0x55e366eee640_0 .net "CarryOUT", 0 0, L_0x55e366f162c0; 1 drivers
-v0x55e366eee730_0 .net "Y", 3 0, L_0x55e366f168a0; 1 drivers
-v0x55e366eee7f0_0 .net *"_ivl_39", 0 0, L_0x55e366f169b0; 1 drivers
-v0x55e366eee8d0_0 .net "overflow", 0 0, L_0x55e366f16940; alias, 1 drivers
-L_0x55e366f14cd0 .part L_0x55e366f13e40, 0, 1;
-L_0x55e366f14e00 .part L_0x55e366f16ad0, 0, 1;
-L_0x55e366f15290 .part L_0x55e366f13e40, 1, 1;
-L_0x55e366f15450 .part L_0x55e366f16ad0, 1, 1;
-L_0x55e366f15580 .part L_0x55e366f15df0, 0, 1;
-L_0x55e366f15a10 .part L_0x55e366f13e40, 2, 1;
-L_0x55e366f15b40 .part L_0x55e366f16ad0, 2, 1;
-L_0x55e366f15c70 .part L_0x55e366f15df0, 1, 1;
-L_0x55e366f15df0 .concat8 [ 1 1 1 0], L_0x55e366f14c60, L_0x55e366f15220, L_0x55e366f159a0;
-L_0x55e366f16380 .part L_0x55e366f13e40, 3, 1;
-L_0x55e366f164b0 .part L_0x55e366f16ad0, 3, 1;
-L_0x55e366f16670 .part L_0x55e366f15df0, 2, 1;
-L_0x55e366f168a0 .concat8 [ 1 1 1 1], L_0x55e366f14b60, L_0x55e366f15160, L_0x55e366f158e0, L_0x55e366f16200;
-L_0x55e366f169b0 .part L_0x55e366f15df0, 2, 1;
-S_0x55e366ee8c30 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55e366ee89b0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f14c60 .functor OR 1, L_0x55e366f14840, L_0x55e366f14a10, C4<0>, C4<0>;
-v0x55e366ee9b60_0 .net "A", 0 0, L_0x55e366f14cd0; 1 drivers
-v0x55e366ee9c20_0 .net "B", 0 0, L_0x55e366f14e00; 1 drivers
-v0x55e366ee9cf0_0 .net "Carry", 0 0, L_0x7f547236c0f0; alias, 1 drivers
-v0x55e366ee9df0_0 .net "CarryO", 0 0, L_0x55e366f14c60; 1 drivers
-v0x55e366ee9e90_0 .net "Sum", 0 0, L_0x55e366f14b60; 1 drivers
-v0x55e366ee9f80_0 .net "and1", 0 0, L_0x55e366f14840; 1 drivers
-v0x55e366eea050_0 .net "and2", 0 0, L_0x55e366f14a10; 1 drivers
-v0x55e366eea120_0 .net "xor1", 0 0, L_0x55e366f149a0; 1 drivers
-S_0x55e366ee8ec0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366ee8c30;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f14840 .functor AND 1, L_0x55e366f14cd0, L_0x55e366f14e00, C4<1>, C4<1>;
-L_0x55e366f149a0 .functor XOR 1, L_0x55e366f14cd0, L_0x55e366f14e00, C4<0>, C4<0>;
-v0x55e366ee9160_0 .net "A", 0 0, L_0x55e366f14cd0; alias, 1 drivers
-v0x55e366ee9240_0 .net "B", 0 0, L_0x55e366f14e00; alias, 1 drivers
-v0x55e366ee9300_0 .net "Carry", 0 0, L_0x55e366f14840; alias, 1 drivers
-v0x55e366ee93d0_0 .net "Sum", 0 0, L_0x55e366f149a0; alias, 1 drivers
-S_0x55e366ee9540 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366ee8c30;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f14a10 .functor AND 1, L_0x55e366f149a0, L_0x7f547236c0f0, C4<1>, C4<1>;
-L_0x55e366f14b60 .functor XOR 1, L_0x55e366f149a0, L_0x7f547236c0f0, C4<0>, C4<0>;
-v0x55e366ee97b0_0 .net "A", 0 0, L_0x55e366f149a0; alias, 1 drivers
-v0x55e366ee9880_0 .net "B", 0 0, L_0x7f547236c0f0; alias, 1 drivers
-v0x55e366ee9920_0 .net "Carry", 0 0, L_0x55e366f14a10; alias, 1 drivers
-v0x55e366ee99f0_0 .net "Sum", 0 0, L_0x55e366f14b60; alias, 1 drivers
-S_0x55e366eea210 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55e366ee89b0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f15220 .functor OR 1, L_0x55e366f14f30, L_0x55e366f15010, C4<0>, C4<0>;
-v0x55e366eeb0f0_0 .net "A", 0 0, L_0x55e366f15290; 1 drivers
-v0x55e366eeb1b0_0 .net "B", 0 0, L_0x55e366f15450; 1 drivers
-v0x55e366eeb280_0 .net "Carry", 0 0, L_0x55e366f15580; 1 drivers
-v0x55e366eeb380_0 .net "CarryO", 0 0, L_0x55e366f15220; 1 drivers
-v0x55e366eeb420_0 .net "Sum", 0 0, L_0x55e366f15160; 1 drivers
-v0x55e366eeb510_0 .net "and1", 0 0, L_0x55e366f14f30; 1 drivers
-v0x55e366eeb5e0_0 .net "and2", 0 0, L_0x55e366f15010; 1 drivers
-v0x55e366eeb6b0_0 .net "xor1", 0 0, L_0x55e366f14fa0; 1 drivers
-S_0x55e366eea470 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366eea210;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f14f30 .functor AND 1, L_0x55e366f15290, L_0x55e366f15450, C4<1>, C4<1>;
-L_0x55e366f14fa0 .functor XOR 1, L_0x55e366f15290, L_0x55e366f15450, C4<0>, C4<0>;
-v0x55e366eea6f0_0 .net "A", 0 0, L_0x55e366f15290; alias, 1 drivers
-v0x55e366eea7d0_0 .net "B", 0 0, L_0x55e366f15450; alias, 1 drivers
-v0x55e366eea890_0 .net "Carry", 0 0, L_0x55e366f14f30; alias, 1 drivers
-v0x55e366eea960_0 .net "Sum", 0 0, L_0x55e366f14fa0; alias, 1 drivers
-S_0x55e366eeaad0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366eea210;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f15010 .functor AND 1, L_0x55e366f14fa0, L_0x55e366f15580, C4<1>, C4<1>;
-L_0x55e366f15160 .functor XOR 1, L_0x55e366f14fa0, L_0x55e366f15580, C4<0>, C4<0>;
-v0x55e366eead40_0 .net "A", 0 0, L_0x55e366f14fa0; alias, 1 drivers
-v0x55e366eeae10_0 .net "B", 0 0, L_0x55e366f15580; alias, 1 drivers
-v0x55e366eeaeb0_0 .net "Carry", 0 0, L_0x55e366f15010; alias, 1 drivers
-v0x55e366eeaf80_0 .net "Sum", 0 0, L_0x55e366f15160; alias, 1 drivers
-S_0x55e366eeb7a0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55e366ee89b0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f159a0 .functor OR 1, L_0x55e366f156b0, L_0x55e366f15790, C4<0>, C4<0>;
-v0x55e366eec690_0 .net "A", 0 0, L_0x55e366f15a10; 1 drivers
-v0x55e366eec750_0 .net "B", 0 0, L_0x55e366f15b40; 1 drivers
-v0x55e366eec820_0 .net "Carry", 0 0, L_0x55e366f15c70; 1 drivers
-v0x55e366eec920_0 .net "CarryO", 0 0, L_0x55e366f159a0; 1 drivers
-v0x55e366eec9c0_0 .net "Sum", 0 0, L_0x55e366f158e0; 1 drivers
-v0x55e366eecab0_0 .net "and1", 0 0, L_0x55e366f156b0; 1 drivers
-v0x55e366eecb80_0 .net "and2", 0 0, L_0x55e366f15790; 1 drivers
-v0x55e366eecc50_0 .net "xor1", 0 0, L_0x55e366f15720; 1 drivers
-S_0x55e366eeba30 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366eeb7a0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f156b0 .functor AND 1, L_0x55e366f15a10, L_0x55e366f15b40, C4<1>, C4<1>;
-L_0x55e366f15720 .functor XOR 1, L_0x55e366f15a10, L_0x55e366f15b40, C4<0>, C4<0>;
-v0x55e366eebcb0_0 .net "A", 0 0, L_0x55e366f15a10; alias, 1 drivers
-v0x55e366eebd70_0 .net "B", 0 0, L_0x55e366f15b40; alias, 1 drivers
-v0x55e366eebe30_0 .net "Carry", 0 0, L_0x55e366f156b0; alias, 1 drivers
-v0x55e366eebf00_0 .net "Sum", 0 0, L_0x55e366f15720; alias, 1 drivers
-S_0x55e366eec070 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366eeb7a0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f15790 .functor AND 1, L_0x55e366f15720, L_0x55e366f15c70, C4<1>, C4<1>;
-L_0x55e366f158e0 .functor XOR 1, L_0x55e366f15720, L_0x55e366f15c70, C4<0>, C4<0>;
-v0x55e366eec2e0_0 .net "A", 0 0, L_0x55e366f15720; alias, 1 drivers
-v0x55e366eec3b0_0 .net "B", 0 0, L_0x55e366f15c70; alias, 1 drivers
-v0x55e366eec450_0 .net "Carry", 0 0, L_0x55e366f15790; alias, 1 drivers
-v0x55e366eec520_0 .net "Sum", 0 0, L_0x55e366f158e0; alias, 1 drivers
-S_0x55e366eecd40 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55e366ee89b0;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /INPUT 1 "Carry";
- .port_info 3 /OUTPUT 1 "Sum";
- .port_info 4 /OUTPUT 1 "CarryO";
-L_0x55e366f162c0 .functor OR 1, L_0x55e366f15ee0, L_0x55e366f160b0, C4<0>, C4<0>;
-v0x55e366eedc20_0 .net "A", 0 0, L_0x55e366f16380; 1 drivers
-v0x55e366eedce0_0 .net "B", 0 0, L_0x55e366f164b0; 1 drivers
-v0x55e366eeddb0_0 .net "Carry", 0 0, L_0x55e366f16670; 1 drivers
-v0x55e366eedeb0_0 .net "CarryO", 0 0, L_0x55e366f162c0; alias, 1 drivers
-v0x55e366eedf50_0 .net "Sum", 0 0, L_0x55e366f16200; 1 drivers
-v0x55e366eee040_0 .net "and1", 0 0, L_0x55e366f15ee0; 1 drivers
-v0x55e366eee110_0 .net "and2", 0 0, L_0x55e366f160b0; 1 drivers
-v0x55e366eee1e0_0 .net "xor1", 0 0, L_0x55e366f16040; 1 drivers
-S_0x55e366eecfa0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55e366eecd40;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f15ee0 .functor AND 1, L_0x55e366f16380, L_0x55e366f164b0, C4<1>, C4<1>;
-L_0x55e366f16040 .functor XOR 1, L_0x55e366f16380, L_0x55e366f164b0, C4<0>, C4<0>;
-v0x55e366eed220_0 .net "A", 0 0, L_0x55e366f16380; alias, 1 drivers
-v0x55e366eed300_0 .net "B", 0 0, L_0x55e366f164b0; alias, 1 drivers
-v0x55e366eed3c0_0 .net "Carry", 0 0, L_0x55e366f15ee0; alias, 1 drivers
-v0x55e366eed490_0 .net "Sum", 0 0, L_0x55e366f16040; alias, 1 drivers
-S_0x55e366eed600 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55e366eecd40;
- .timescale 0 0;
- .port_info 0 /INPUT 1 "A";
- .port_info 1 /INPUT 1 "B";
- .port_info 2 /OUTPUT 1 "Sum";
- .port_info 3 /OUTPUT 1 "Carry";
-L_0x55e366f160b0 .functor AND 1, L_0x55e366f16040, L_0x55e366f16670, C4<1>, C4<1>;
-L_0x55e366f16200 .functor XOR 1, L_0x55e366f16040, L_0x55e366f16670, C4<0>, C4<0>;
-v0x55e366eed870_0 .net "A", 0 0, L_0x55e366f16040; alias, 1 drivers
-v0x55e366eed940_0 .net "B", 0 0, L_0x55e366f16670; alias, 1 drivers
-v0x55e366eed9e0_0 .net "Carry", 0 0, L_0x55e366f160b0; alias, 1 drivers
-v0x55e366eedab0_0 .net "Sum", 0 0, L_0x55e366f16200; alias, 1 drivers
-S_0x55e366ef3880 .scope module, "opCd" "opCode" 3 18, 15 1 0, S_0x55e366eb6430;
- .timescale 0 0;
- .port_info 0 /INPUT 3 "A";
- .port_info 1 /OUTPUT 8 "opCode";
-L_0x55e366efe170 .functor NOT 1, L_0x55e366efe200, C4<0>, C4<0>, C4<0>;
-L_0x55e366efe2f0 .functor NOT 1, L_0x55e366efe380, C4<0>, C4<0>, C4<0>;
-L_0x55e366efe470 .functor NOT 1, L_0x55e366efe4e0, C4<0>, C4<0>, C4<0>;
-L_0x55e366efe580 .functor AND 1, L_0x55e366efe650, L_0x55e366efe770, C4<1>, C4<1>;
-L_0x55e366efe860 .functor AND 1, L_0x55e366efe170, L_0x55e366efe920, C4<1>, C4<1>;
-L_0x55e366efeb60 .functor AND 1, L_0x55e366efec60, L_0x55e366efe2f0, C4<1>, C4<1>;
-L_0x55e366efed50 .functor AND 1, L_0x55e366efe170, L_0x55e366efe2f0, C4<1>, C4<1>;
-L_0x55e366efedc0 .functor AND 1, L_0x55e366efed50, L_0x55e366efe470, C4<1>, C4<1>;
-L_0x55e366efef20 .functor AND 1, L_0x55e366efed50, L_0x55e366efef90, C4<1>, C4<1>;
-L_0x55e366eff0d0 .functor AND 1, L_0x55e366efe860, L_0x55e366efe470, C4<1>, C4<1>;
-L_0x55e366eff1f0 .functor AND 1, L_0x55e366efe860, L_0x55e366eff260, C4<1>, C4<1>;
-L_0x55e366eff300 .functor AND 1, L_0x55e366efeb60, L_0x55e366efe470, C4<1>, C4<1>;
-L_0x55e366eff430 .functor AND 1, L_0x55e366efeb60, L_0x55e366eff4a0, C4<1>, C4<1>;
-L_0x55e366eff5a0 .functor AND 1, L_0x55e366efe580, L_0x55e366efe470, C4<1>, C4<1>;
-L_0x55e366eff3c0 .functor AND 1, L_0x55e366efe580, L_0x55e366effa50, C4<1>, C4<1>;
-v0x55e366ef3a10_0 .net "A", 2 0, v0x55e366efdf70_0; alias, 1 drivers
-v0x55e366ef3b10_0 .net *"_ivl_1", 0 0, L_0x55e366efe200; 1 drivers
-v0x55e366ef3bf0_0 .net *"_ivl_11", 0 0, L_0x55e366efe920; 1 drivers
-v0x55e366ef3cb0_0 .net *"_ivl_13", 0 0, L_0x55e366efec60; 1 drivers
-v0x55e366ef3d90_0 .net *"_ivl_14", 0 0, L_0x55e366efedc0; 1 drivers
-v0x55e366ef3ec0_0 .net *"_ivl_16", 0 0, L_0x55e366efef20; 1 drivers
-v0x55e366ef3fa0_0 .net *"_ivl_19", 0 0, L_0x55e366efef90; 1 drivers
-v0x55e366ef4080_0 .net *"_ivl_20", 0 0, L_0x55e366eff0d0; 1 drivers
-v0x55e366ef4160_0 .net *"_ivl_22", 0 0, L_0x55e366eff1f0; 1 drivers
-v0x55e366ef4240_0 .net *"_ivl_25", 0 0, L_0x55e366eff260; 1 drivers
-v0x55e366ef4320_0 .net *"_ivl_26", 0 0, L_0x55e366eff300; 1 drivers
-v0x55e366ef4400_0 .net *"_ivl_28", 0 0, L_0x55e366eff430; 1 drivers
-v0x55e366ef44e0_0 .net *"_ivl_3", 0 0, L_0x55e366efe380; 1 drivers
-v0x55e366ef45c0_0 .net *"_ivl_31", 0 0, L_0x55e366eff4a0; 1 drivers
-v0x55e366ef46a0_0 .net *"_ivl_32", 0 0, L_0x55e366eff5a0; 1 drivers
-v0x55e366ef4780_0 .net *"_ivl_34", 0 0, L_0x55e366eff3c0; 1 drivers
-v0x55e366ef4860_0 .net *"_ivl_38", 0 0, L_0x55e366effa50; 1 drivers
-v0x55e366ef4940_0 .net *"_ivl_5", 0 0, L_0x55e366efe4e0; 1 drivers
-v0x55e366ef4a20_0 .net *"_ivl_7", 0 0, L_0x55e366efe650; 1 drivers
-v0x55e366ef4b00_0 .net *"_ivl_9", 0 0, L_0x55e366efe770; 1 drivers
-v0x55e366ef4be0_0 .net "and1", 0 0, L_0x55e366efe580; 1 drivers
-v0x55e366ef4ca0_0 .net "and2", 0 0, L_0x55e366efe860; 1 drivers
-v0x55e366ef4d60_0 .net "and3", 0 0, L_0x55e366efeb60; 1 drivers
-v0x55e366ef4e20_0 .net "and4", 0 0, L_0x55e366efed50; 1 drivers
-v0x55e366ef4ee0_0 .net "notA", 0 0, L_0x55e366efe170; 1 drivers
-v0x55e366ef4fa0_0 .net "notB", 0 0, L_0x55e366efe2f0; 1 drivers
-v0x55e366ef5060_0 .net "notC", 0 0, L_0x55e366efe470; 1 drivers
-v0x55e366ef5120_0 .net "opCode", 7 0, L_0x55e366eff690; alias, 1 drivers
-L_0x55e366efe200 .part v0x55e366efdf70_0, 2, 1;
-L_0x55e366efe380 .part v0x55e366efdf70_0, 1, 1;
-L_0x55e366efe4e0 .part v0x55e366efdf70_0, 0, 1;
-L_0x55e366efe650 .part v0x55e366efdf70_0, 2, 1;
-L_0x55e366efe770 .part v0x55e366efdf70_0, 1, 1;
-L_0x55e366efe920 .part v0x55e366efdf70_0, 1, 1;
-L_0x55e366efec60 .part v0x55e366efdf70_0, 2, 1;
-L_0x55e366efef90 .part v0x55e366efdf70_0, 0, 1;
-L_0x55e366eff260 .part v0x55e366efdf70_0, 0, 1;
-L_0x55e366eff4a0 .part v0x55e366efdf70_0, 0, 1;
-LS_0x55e366eff690_0_0 .concat8 [ 1 1 1 1], L_0x55e366efedc0, L_0x55e366efef20, L_0x55e366eff0d0, L_0x55e366eff1f0;
-LS_0x55e366eff690_0_4 .concat8 [ 1 1 1 1], L_0x55e366eff300, L_0x55e366eff430, L_0x55e366eff5a0, L_0x55e366eff3c0;
-L_0x55e366eff690 .concat8 [ 4 4 0 0], LS_0x55e366eff690_0_0, LS_0x55e366eff690_0_4;
-L_0x55e366effa50 .part v0x55e366efdf70_0, 0, 1;
- .scope S_0x55e366e13060;
-T_0 ;
- %vpi_call 2 20 "$dumpfile", "ALU.vcd" {0 0 0};
- %vpi_call 2 21 "$dumpvars" {0 0 0};
- %pushi/vec4 12, 0, 4;
- %store/vec4 v0x55e366efdb20_0, 0, 4;
- %pushi/vec4 12, 0, 4;
- %store/vec4 v0x55e366efdc00_0, 0, 4;
- %pushi/vec4 0, 0, 1;
- %store/vec4 v0x55e366efdcc0_0, 0, 1;
- %pushi/vec4 2, 0, 3;
- %store/vec4 v0x55e366efdf70_0, 0, 3;
- %delay 5, 0;
- %vpi_call 2 23 "$finish" {0 0 0};
- %end;
- .thread T_0;
-# The file index is used to find the file name in the following table.
-:file_names 16;
- "N/A";
- "";
- "ALUtb.v";
- "ALU.v";
- "arithmeticUnit.v";
- "addition.v";
- "fulladder.v";
- "halfadder.v";
- "subtraction.v";
- "fullsubtraction.v";
- "halfsubtraction.v";
- "BinaryToBCD.v";
- "dabble.v";
- "logicUnit.v";
- "multiplier.v";
- "opCode.v";
diff --git a/spartanTest/ALU.vcd b/spartanTest/ALU.vcd
deleted file mode 100644
index bbab0d9..0000000
--- a/spartanTest/ALU.vcd
+++ /dev/null
@@ -1,1074 +0,0 @@
-$date
- Sat Jan 18 18:12:41 2025
-$end
-$version
- Icarus Verilog
-$end
-$timescale
- 1s
-$end
-$scope module ALUtb $end
-$var wire 1 ! overflow $end
-$var wire 12 " bcd [11:0] $end
-$var wire 1 # CarryOUT $end
-$var reg 4 $ A [3:0] $end
-$var reg 4 % B [3:0] $end
-$var reg 1 & CarryIN $end
-$var reg 3 ' opCodeA [2:0] $end
-$scope module uut $end
-$var wire 4 ( A [3:0] $end
-$var wire 4 ) B [3:0] $end
-$var wire 1 & CarryIN $end
-$var wire 3 * opCodeA [2:0] $end
-$var wire 4 + wireY [3:0] $end
-$var wire 8 , wireM [7:0] $end
-$var wire 4 - wireLA [3:0] $end
-$var wire 4 . sub_Y [3:0] $end
-$var wire 4 / resultX [3:0] $end
-$var wire 4 0 resultO [3:0] $end
-$var wire 4 1 resultA [3:0] $end
-$var wire 1 ! overflow $end
-$var wire 8 2 opwireM [7:0] $end
-$var wire 8 3 opCode8 [7:0] $end
-$var wire 4 4 lUOutput2 [3:0] $end
-$var wire 4 5 lUOutput1 [3:0] $end
-$var wire 12 6 bcd [11:0] $end
-$var wire 4 7 add_Y [3:0] $end
-$var wire 4 8 aUtemp2 [3:0] $end
-$var wire 4 9 aUtemp1 [3:0] $end
-$var wire 8 : Y [7:0] $end
-$var wire 1 # CarryOUT $end
-$scope module aU $end
-$var wire 4 ; A [3:0] $end
-$var wire 4 < B [3:0] $end
-$var wire 1 & CarryIN $end
-$var wire 1 # CarryOUT $end
-$var wire 2 = opCode [1:0] $end
-$var wire 1 ! overflow $end
-$var wire 1 > tempCAdd $end
-$var wire 1 ? tempCSub $end
-$var wire 1 @ tempoverflow $end
-$var wire 4 A sub_Y [3:0] $end
-$var wire 4 B subY [3:0] $end
-$var wire 4 C add_Y [3:0] $end
-$var wire 4 D addY [3:0] $end
-$var wire 1 E CarryOUTSUB $end
-$var wire 1 F CarryOUTADD $end
-$scope module a1 $end
-$var wire 4 G A [3:0] $end
-$var wire 4 H B [3:0] $end
-$var wire 1 & CarryIN $end
-$var wire 1 @ overflow $end
-$var wire 4 I Y [3:0] $end
-$var wire 1 F CarryOUT $end
-$var wire 3 J Carry4 [2:0] $end
-$scope module f0 $end
-$var wire 1 K A $end
-$var wire 1 L B $end
-$var wire 1 & Carry $end
-$var wire 1 M CarryO $end
-$var wire 1 N xor1 $end
-$var wire 1 O and2 $end
-$var wire 1 P and1 $end
-$var wire 1 Q Sum $end
-$scope module h1 $end
-$var wire 1 K A $end
-$var wire 1 L B $end
-$var wire 1 P Carry $end
-$var wire 1 N Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 N A $end
-$var wire 1 & B $end
-$var wire 1 O Carry $end
-$var wire 1 Q Sum $end
-$upscope $end
-$upscope $end
-$scope module f1 $end
-$var wire 1 R A $end
-$var wire 1 S B $end
-$var wire 1 T Carry $end
-$var wire 1 U CarryO $end
-$var wire 1 V xor1 $end
-$var wire 1 W and2 $end
-$var wire 1 X and1 $end
-$var wire 1 Y Sum $end
-$scope module h1 $end
-$var wire 1 R A $end
-$var wire 1 S B $end
-$var wire 1 X Carry $end
-$var wire 1 V Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 V A $end
-$var wire 1 T B $end
-$var wire 1 W Carry $end
-$var wire 1 Y Sum $end
-$upscope $end
-$upscope $end
-$scope module f2 $end
-$var wire 1 Z A $end
-$var wire 1 [ B $end
-$var wire 1 \ Carry $end
-$var wire 1 ] CarryO $end
-$var wire 1 ^ xor1 $end
-$var wire 1 _ and2 $end
-$var wire 1 ` and1 $end
-$var wire 1 a Sum $end
-$scope module h1 $end
-$var wire 1 Z A $end
-$var wire 1 [ B $end
-$var wire 1 ` Carry $end
-$var wire 1 ^ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 ^ A $end
-$var wire 1 \ B $end
-$var wire 1 _ Carry $end
-$var wire 1 a Sum $end
-$upscope $end
-$upscope $end
-$scope module f3 $end
-$var wire 1 b A $end
-$var wire 1 c B $end
-$var wire 1 d Carry $end
-$var wire 1 F CarryO $end
-$var wire 1 e xor1 $end
-$var wire 1 f and2 $end
-$var wire 1 g and1 $end
-$var wire 1 h Sum $end
-$scope module h1 $end
-$var wire 1 b A $end
-$var wire 1 c B $end
-$var wire 1 g Carry $end
-$var wire 1 e Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 e A $end
-$var wire 1 d B $end
-$var wire 1 f Carry $end
-$var wire 1 h Sum $end
-$upscope $end
-$upscope $end
-$upscope $end
-$scope module s1 $end
-$var wire 4 i A [3:0] $end
-$var wire 4 j B [3:0] $end
-$var wire 1 & BorrowIN $end
-$var wire 4 k tempB [3:0] $end
-$var wire 4 l Y [3:0] $end
-$var wire 1 E BorrowOUT $end
-$scope module f0 $end
-$var wire 1 m A $end
-$var wire 1 n B $end
-$var wire 1 & BorrowIN $end
-$var wire 1 o BorrowOut $end
-$var wire 1 p tempD $end
-$var wire 1 q tempB2 $end
-$var wire 1 r tempB1 $end
-$var wire 1 s Difference $end
-$scope module hf1 $end
-$var wire 1 m A $end
-$var wire 1 n B $end
-$var wire 1 r Borrow $end
-$var wire 1 p Difference $end
-$var wire 1 t notA $end
-$upscope $end
-$scope module hf2 $end
-$var wire 1 p A $end
-$var wire 1 & B $end
-$var wire 1 q Borrow $end
-$var wire 1 s Difference $end
-$var wire 1 u notA $end
-$upscope $end
-$upscope $end
-$scope module f1 $end
-$var wire 1 v A $end
-$var wire 1 w B $end
-$var wire 1 x BorrowIN $end
-$var wire 1 y BorrowOut $end
-$var wire 1 z tempD $end
-$var wire 1 { tempB2 $end
-$var wire 1 | tempB1 $end
-$var wire 1 } Difference $end
-$scope module hf1 $end
-$var wire 1 v A $end
-$var wire 1 w B $end
-$var wire 1 | Borrow $end
-$var wire 1 z Difference $end
-$var wire 1 ~ notA $end
-$upscope $end
-$scope module hf2 $end
-$var wire 1 z A $end
-$var wire 1 x B $end
-$var wire 1 { Borrow $end
-$var wire 1 } Difference $end
-$var wire 1 !" notA $end
-$upscope $end
-$upscope $end
-$scope module f2 $end
-$var wire 1 "" A $end
-$var wire 1 #" B $end
-$var wire 1 $" BorrowIN $end
-$var wire 1 %" BorrowOut $end
-$var wire 1 &" tempD $end
-$var wire 1 '" tempB2 $end
-$var wire 1 (" tempB1 $end
-$var wire 1 )" Difference $end
-$scope module hf1 $end
-$var wire 1 "" A $end
-$var wire 1 #" B $end
-$var wire 1 (" Borrow $end
-$var wire 1 &" Difference $end
-$var wire 1 *" notA $end
-$upscope $end
-$scope module hf2 $end
-$var wire 1 &" A $end
-$var wire 1 $" B $end
-$var wire 1 '" Borrow $end
-$var wire 1 )" Difference $end
-$var wire 1 +" notA $end
-$upscope $end
-$upscope $end
-$scope module f3 $end
-$var wire 1 ," A $end
-$var wire 1 -" B $end
-$var wire 1 ." BorrowIN $end
-$var wire 1 E BorrowOut $end
-$var wire 1 /" tempD $end
-$var wire 1 0" tempB2 $end
-$var wire 1 1" tempB1 $end
-$var wire 1 2" Difference $end
-$scope module hf1 $end
-$var wire 1 ," A $end
-$var wire 1 -" B $end
-$var wire 1 1" Borrow $end
-$var wire 1 /" Difference $end
-$var wire 1 3" notA $end
-$upscope $end
-$scope module hf2 $end
-$var wire 1 /" A $end
-$var wire 1 ." B $end
-$var wire 1 0" Borrow $end
-$var wire 1 2" Difference $end
-$var wire 1 4" notA $end
-$upscope $end
-$upscope $end
-$upscope $end
-$upscope $end
-$scope module btod1 $end
-$var wire 8 5" binary [7:0] $end
-$var wire 1 6" empty1 $end
-$var wire 1 7" empty2 $end
-$var wire 4 8" dab5 [3:0] $end
-$var wire 4 9" dab4 [3:0] $end
-$var wire 4 :" dab3 [3:0] $end
-$var wire 4 ;" dab2 [3:0] $end
-$var wire 4 <" dab1 [3:0] $end
-$var wire 12 =" bcd [11:0] $end
-$scope module d1t $end
-$var wire 1 6" A $end
-$var wire 1 >" B $end
-$var wire 1 ?" C $end
-$var wire 1 @" D $end
-$var wire 1 A" E $end
-$var wire 1 B" X $end
-$var wire 1 C" Y $end
-$var wire 1 D" Z $end
-$var wire 1 E" nor1 $end
-$var wire 1 F" nor2 $end
-$var wire 1 G" nor3 $end
-$var wire 1 H" or1 $end
-$var wire 1 I" xor1 $end
-$var wire 1 J" xor2 $end
-$upscope $end
-$scope module d2u $end
-$var wire 1 K" A $end
-$var wire 1 L" B $end
-$var wire 1 M" C $end
-$var wire 1 N" D $end
-$var wire 1 O" E $end
-$var wire 1 P" X $end
-$var wire 1 Q" Y $end
-$var wire 1 R" Z $end
-$var wire 1 S" nor1 $end
-$var wire 1 T" nor2 $end
-$var wire 1 U" nor3 $end
-$var wire 1 V" or1 $end
-$var wire 1 W" xor1 $end
-$var wire 1 X" xor2 $end
-$upscope $end
-$scope module d3v $end
-$var wire 1 Y" A $end
-$var wire 1 Z" B $end
-$var wire 1 [" C $end
-$var wire 1 \" D $end
-$var wire 1 ]" E $end
-$var wire 1 ^" X $end
-$var wire 1 _" Y $end
-$var wire 1 `" Z $end
-$var wire 1 a" nor1 $end
-$var wire 1 b" nor2 $end
-$var wire 1 c" nor3 $end
-$var wire 1 d" or1 $end
-$var wire 1 e" xor1 $end
-$var wire 1 f" xor2 $end
-$upscope $end
-$scope module d4w $end
-$var wire 1 7" A $end
-$var wire 1 g" B $end
-$var wire 1 h" C $end
-$var wire 1 i" D $end
-$var wire 1 j" E $end
-$var wire 1 k" X $end
-$var wire 1 l" Y $end
-$var wire 1 m" Z $end
-$var wire 1 n" nor1 $end
-$var wire 1 o" nor2 $end
-$var wire 1 p" nor3 $end
-$var wire 1 q" or1 $end
-$var wire 1 r" xor1 $end
-$var wire 1 s" xor2 $end
-$upscope $end
-$scope module d5x $end
-$var wire 1 t" A $end
-$var wire 1 u" B $end
-$var wire 1 v" C $end
-$var wire 1 w" D $end
-$var wire 1 x" E $end
-$var wire 1 y" X $end
-$var wire 1 z" Y $end
-$var wire 1 {" Z $end
-$var wire 1 |" nor1 $end
-$var wire 1 }" nor2 $end
-$var wire 1 ~" nor3 $end
-$var wire 1 !# or1 $end
-$var wire 1 "# xor1 $end
-$var wire 1 ## xor2 $end
-$upscope $end
-$scope module d6y $end
-$var wire 1 $# A $end
-$var wire 1 %# B $end
-$var wire 1 C $end
-$var wire 1 '# D $end
-$var wire 1 (# E $end
-$var wire 1 )# X $end
-$var wire 1 *# Y $end
-$var wire 1 +# Z $end
-$var wire 1 ,# nor1 $end
-$var wire 1 -# nor2 $end
-$var wire 1 .# nor3 $end
-$var wire 1 /# or1 $end
-$var wire 1 0# xor1 $end
-$var wire 1 1# xor2 $end
-$upscope $end
-$scope module d7z $end
-$var wire 1 2# A $end
-$var wire 1 3# B $end
-$var wire 1 4# C $end
-$var wire 1 5# D $end
-$var wire 1 6# E $end
-$var wire 1 7# X $end
-$var wire 1 8# Y $end
-$var wire 1 9# Z $end
-$var wire 1 :# nor1 $end
-$var wire 1 ;# nor2 $end
-$var wire 1 <# nor3 $end
-$var wire 1 =# or1 $end
-$var wire 1 ># xor1 $end
-$var wire 1 ?# xor2 $end
-$upscope $end
-$upscope $end
-$scope module lU $end
-$var wire 4 @# A [3:0] $end
-$var wire 4 A# B [3:0] $end
-$var wire 3 B# opCode [2:0] $end
-$var wire 4 C# xor1 [3:0] $end
-$var wire 4 D# resultX [3:0] $end
-$var wire 4 E# resultO [3:0] $end
-$var wire 4 F# resultA [3:0] $end
-$var wire 4 G# or1 [3:0] $end
-$var wire 4 H# and1 [3:0] $end
-$upscope $end
-$scope module mU $end
-$var wire 4 I# A [3:0] $end
-$var wire 4 J# B [3:0] $end
-$var wire 1 K# overflow2 $end
-$var wire 1 L# overflow1 $end
-$var wire 1 M# overflow0 $end
-$var wire 4 N# b0 [3:0] $end
-$var wire 4 O# a2 [3:0] $end
-$var wire 4 P# a1 [3:0] $end
-$var wire 4 Q# a0 [3:0] $end
-$var wire 8 R# Y [7:0] $end
-$var wire 5 S# S2 [4:0] $end
-$var wire 5 T# S1 [4:0] $end
-$var wire 5 U# S0 [4:0] $end
-$scope module add0 $end
-$var wire 4 V# A [3:0] $end
-$var wire 4 W# B [3:0] $end
-$var wire 1 X# CarryIN $end
-$var wire 1 M# overflow $end
-$var wire 4 Y# Y [3:0] $end
-$var wire 1 Z# CarryOUT $end
-$var wire 3 [# Carry4 [2:0] $end
-$scope module f0 $end
-$var wire 1 \# A $end
-$var wire 1 ]# B $end
-$var wire 1 X# Carry $end
-$var wire 1 ^# CarryO $end
-$var wire 1 _# xor1 $end
-$var wire 1 `# and2 $end
-$var wire 1 a# and1 $end
-$var wire 1 b# Sum $end
-$scope module h1 $end
-$var wire 1 \# A $end
-$var wire 1 ]# B $end
-$var wire 1 a# Carry $end
-$var wire 1 _# Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 _# A $end
-$var wire 1 X# B $end
-$var wire 1 `# Carry $end
-$var wire 1 b# Sum $end
-$upscope $end
-$upscope $end
-$scope module f1 $end
-$var wire 1 c# A $end
-$var wire 1 d# B $end
-$var wire 1 e# Carry $end
-$var wire 1 f# CarryO $end
-$var wire 1 g# xor1 $end
-$var wire 1 h# and2 $end
-$var wire 1 i# and1 $end
-$var wire 1 j# Sum $end
-$scope module h1 $end
-$var wire 1 c# A $end
-$var wire 1 d# B $end
-$var wire 1 i# Carry $end
-$var wire 1 g# Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 g# A $end
-$var wire 1 e# B $end
-$var wire 1 h# Carry $end
-$var wire 1 j# Sum $end
-$upscope $end
-$upscope $end
-$scope module f2 $end
-$var wire 1 k# A $end
-$var wire 1 l# B $end
-$var wire 1 m# Carry $end
-$var wire 1 n# CarryO $end
-$var wire 1 o# xor1 $end
-$var wire 1 p# and2 $end
-$var wire 1 q# and1 $end
-$var wire 1 r# Sum $end
-$scope module h1 $end
-$var wire 1 k# A $end
-$var wire 1 l# B $end
-$var wire 1 q# Carry $end
-$var wire 1 o# Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 o# A $end
-$var wire 1 m# B $end
-$var wire 1 p# Carry $end
-$var wire 1 r# Sum $end
-$upscope $end
-$upscope $end
-$scope module f3 $end
-$var wire 1 s# A $end
-$var wire 1 t# B $end
-$var wire 1 u# Carry $end
-$var wire 1 Z# CarryO $end
-$var wire 1 v# xor1 $end
-$var wire 1 w# and2 $end
-$var wire 1 x# and1 $end
-$var wire 1 y# Sum $end
-$scope module h1 $end
-$var wire 1 s# A $end
-$var wire 1 t# B $end
-$var wire 1 x# Carry $end
-$var wire 1 v# Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 v# A $end
-$var wire 1 u# B $end
-$var wire 1 w# Carry $end
-$var wire 1 y# Sum $end
-$upscope $end
-$upscope $end
-$upscope $end
-$scope module add1 $end
-$var wire 4 z# A [3:0] $end
-$var wire 4 {# B [3:0] $end
-$var wire 1 |# CarryIN $end
-$var wire 1 L# overflow $end
-$var wire 4 }# Y [3:0] $end
-$var wire 1 ~# CarryOUT $end
-$var wire 3 !$ Carry4 [2:0] $end
-$scope module f0 $end
-$var wire 1 "$ A $end
-$var wire 1 #$ B $end
-$var wire 1 |# Carry $end
-$var wire 1 $$ CarryO $end
-$var wire 1 %$ xor1 $end
-$var wire 1 &$ and2 $end
-$var wire 1 '$ and1 $end
-$var wire 1 ($ Sum $end
-$scope module h1 $end
-$var wire 1 "$ A $end
-$var wire 1 #$ B $end
-$var wire 1 '$ Carry $end
-$var wire 1 %$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 %$ A $end
-$var wire 1 |# B $end
-$var wire 1 &$ Carry $end
-$var wire 1 ($ Sum $end
-$upscope $end
-$upscope $end
-$scope module f1 $end
-$var wire 1 )$ A $end
-$var wire 1 *$ B $end
-$var wire 1 +$ Carry $end
-$var wire 1 ,$ CarryO $end
-$var wire 1 -$ xor1 $end
-$var wire 1 .$ and2 $end
-$var wire 1 /$ and1 $end
-$var wire 1 0$ Sum $end
-$scope module h1 $end
-$var wire 1 )$ A $end
-$var wire 1 *$ B $end
-$var wire 1 /$ Carry $end
-$var wire 1 -$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 -$ A $end
-$var wire 1 +$ B $end
-$var wire 1 .$ Carry $end
-$var wire 1 0$ Sum $end
-$upscope $end
-$upscope $end
-$scope module f2 $end
-$var wire 1 1$ A $end
-$var wire 1 2$ B $end
-$var wire 1 3$ Carry $end
-$var wire 1 4$ CarryO $end
-$var wire 1 5$ xor1 $end
-$var wire 1 6$ and2 $end
-$var wire 1 7$ and1 $end
-$var wire 1 8$ Sum $end
-$scope module h1 $end
-$var wire 1 1$ A $end
-$var wire 1 2$ B $end
-$var wire 1 7$ Carry $end
-$var wire 1 5$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 5$ A $end
-$var wire 1 3$ B $end
-$var wire 1 6$ Carry $end
-$var wire 1 8$ Sum $end
-$upscope $end
-$upscope $end
-$scope module f3 $end
-$var wire 1 9$ A $end
-$var wire 1 :$ B $end
-$var wire 1 ;$ Carry $end
-$var wire 1 ~# CarryO $end
-$var wire 1 <$ xor1 $end
-$var wire 1 =$ and2 $end
-$var wire 1 >$ and1 $end
-$var wire 1 ?$ Sum $end
-$scope module h1 $end
-$var wire 1 9$ A $end
-$var wire 1 :$ B $end
-$var wire 1 >$ Carry $end
-$var wire 1 <$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 <$ A $end
-$var wire 1 ;$ B $end
-$var wire 1 =$ Carry $end
-$var wire 1 ?$ Sum $end
-$upscope $end
-$upscope $end
-$upscope $end
-$scope module add2 $end
-$var wire 4 @$ A [3:0] $end
-$var wire 4 A$ B [3:0] $end
-$var wire 1 B$ CarryIN $end
-$var wire 1 K# overflow $end
-$var wire 4 C$ Y [3:0] $end
-$var wire 1 D$ CarryOUT $end
-$var wire 3 E$ Carry4 [2:0] $end
-$scope module f0 $end
-$var wire 1 F$ A $end
-$var wire 1 G$ B $end
-$var wire 1 B$ Carry $end
-$var wire 1 H$ CarryO $end
-$var wire 1 I$ xor1 $end
-$var wire 1 J$ and2 $end
-$var wire 1 K$ and1 $end
-$var wire 1 L$ Sum $end
-$scope module h1 $end
-$var wire 1 F$ A $end
-$var wire 1 G$ B $end
-$var wire 1 K$ Carry $end
-$var wire 1 I$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 I$ A $end
-$var wire 1 B$ B $end
-$var wire 1 J$ Carry $end
-$var wire 1 L$ Sum $end
-$upscope $end
-$upscope $end
-$scope module f1 $end
-$var wire 1 M$ A $end
-$var wire 1 N$ B $end
-$var wire 1 O$ Carry $end
-$var wire 1 P$ CarryO $end
-$var wire 1 Q$ xor1 $end
-$var wire 1 R$ and2 $end
-$var wire 1 S$ and1 $end
-$var wire 1 T$ Sum $end
-$scope module h1 $end
-$var wire 1 M$ A $end
-$var wire 1 N$ B $end
-$var wire 1 S$ Carry $end
-$var wire 1 Q$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 Q$ A $end
-$var wire 1 O$ B $end
-$var wire 1 R$ Carry $end
-$var wire 1 T$ Sum $end
-$upscope $end
-$upscope $end
-$scope module f2 $end
-$var wire 1 U$ A $end
-$var wire 1 V$ B $end
-$var wire 1 W$ Carry $end
-$var wire 1 X$ CarryO $end
-$var wire 1 Y$ xor1 $end
-$var wire 1 Z$ and2 $end
-$var wire 1 [$ and1 $end
-$var wire 1 \$ Sum $end
-$scope module h1 $end
-$var wire 1 U$ A $end
-$var wire 1 V$ B $end
-$var wire 1 [$ Carry $end
-$var wire 1 Y$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 Y$ A $end
-$var wire 1 W$ B $end
-$var wire 1 Z$ Carry $end
-$var wire 1 \$ Sum $end
-$upscope $end
-$upscope $end
-$scope module f3 $end
-$var wire 1 ]$ A $end
-$var wire 1 ^$ B $end
-$var wire 1 _$ Carry $end
-$var wire 1 D$ CarryO $end
-$var wire 1 `$ xor1 $end
-$var wire 1 a$ and2 $end
-$var wire 1 b$ and1 $end
-$var wire 1 c$ Sum $end
-$scope module h1 $end
-$var wire 1 ]$ A $end
-$var wire 1 ^$ B $end
-$var wire 1 b$ Carry $end
-$var wire 1 `$ Sum $end
-$upscope $end
-$scope module h2 $end
-$var wire 1 `$ A $end
-$var wire 1 _$ B $end
-$var wire 1 a$ Carry $end
-$var wire 1 c$ Sum $end
-$upscope $end
-$upscope $end
-$upscope $end
-$upscope $end
-$scope module opCd $end
-$var wire 3 d$ A [2:0] $end
-$var wire 1 e$ and1 $end
-$var wire 1 f$ and2 $end
-$var wire 1 g$ and3 $end
-$var wire 1 h$ and4 $end
-$var wire 1 i$ notA $end
-$var wire 1 j$ notB $end
-$var wire 1 k$ notC $end
-$var wire 8 l$ opCode [7:0] $end
-$upscope $end
-$upscope $end
-$upscope $end
-$enddefinitions $end
-#0
-$dumpvars
-b100 l$
-1k$
-0j$
-1i$
-0h$
-0g$
-1f$
-0e$
-b10 d$
-0c$
-0b$
-1a$
-1`$
-1_$
-0^$
-1]$
-0\$
-1[$
-0Z$
-0Y$
-1X$
-0W$
-1V$
-1U$
-1T$
-0S$
-0R$
-1Q$
-0P$
-0O$
-1N$
-0M$
-0L$
-0K$
-0J$
-0I$
-0H$
-0G$
-0F$
-b100 E$
-1D$
-b10 C$
-0B$
-b110 A$
-b1100 @$
-1?$
-0>$
-0=$
-1<$
-0;$
-0:$
-19$
-18$
-07$
-06$
-15$
-04$
-03$
-02$
-11$
-00$
-0/$
-0.$
-0-$
-0,$
-0+$
-0*$
-0)$
-0($
-0'$
-0&$
-0%$
-0$$
-0#$
-0"$
-b0 !$
-0~#
-b1100 }#
-0|#
-b0 {#
-b1100 z#
-0y#
-0x#
-0w#
-0v#
-0u#
-0t#
-0s#
-0r#
-0q#
-0p#
-0o#
-0n#
-0m#
-0l#
-0k#
-0j#
-0i#
-0h#
-0g#
-0f#
-0e#
-0d#
-0c#
-0b#
-0a#
-0`#
-0_#
-0^#
-0]#
-0\#
-b0 [#
-0Z#
-b0 Y#
-0X#
-b0 W#
-b0 V#
-b0 U#
-b1100 T#
-b10010 S#
-b10010000 R#
-b0 Q#
-b1100 P#
-b1100 O#
-b0 N#
-0M#
-0L#
-0K#
-b1100 J#
-b1100 I#
-b1100 H#
-b1100 G#
-b0 F#
-b0 E#
-b0 D#
-b0 C#
-b0 B#
-b1100 A#
-b1100 @#
-1?#
-0>#
-1=#
-0<#
-0;#
-1:#
-19#
-08#
-07#
-06#
-05#
-14#
-03#
-02#
-11#
-10#
-1/#
-1.#
-0-#
-0,#
-1+#
-0*#
-1)#
-0(#
-1'#
-1
-1%#
-0$#
-1##
-0"#
-0!#
-1~"
-0}"
-0|"
-0{"
-0z"
-1y"
-1x"
-0w"
-1v"
-1u"
-0t"
-1s"
-1r"
-1q"
-0p"
-0o"
-1n"
-1m"
-0l"
-0k"
-1j"
-1i"
-1h"
-0g"
-1f"
-1e"
-1d"
-1c"
-0b"
-0a"
-1`"
-0_"
-1^"
-1]"
-0\"
-0["
-0Z"
-1Y"
-1X"
-0W"
-0V"
-1U"
-0T"
-0S"
-0R"
-1Q"
-1P"
-0O"
-1N"
-0M"
-0L"
-1K"
-0J"
-0I"
-0H"
-0G"
-1F"
-0E"
-0D"
-1C"
-0B"
-0A"
-0@"
-0?"
-1>"
-b101000100 ="
-b10 <"
-b11 ;"
-b1101 :"
-b110z 9"
-b1001 8"
-07"
-06"
-b10010000 5"
-14"
-03"
-02"
-01"
-00"
-0/"
-0."
-1-"
-1,"
-1+"
-0*"
-0)"
-0("
-0'"
-0&"
-0%"
-0$"
-1#"
-1""
-1!"
-1~
-0}
-0|
-0{
-0z
-0y
-0x
-0w
-0v
-1u
-1t
-0s
-0r
-0q
-0p
-0o
-0n
-0m
-b0 l
-bz000 k
-b1100 j
-b1100 i
-1h
-1g
-0f
-0e
-1d
-1c
-1b
-0a
-1`
-0_
-0^
-1]
-0\
-1[
-1Z
-0Y
-0X
-0W
-0V
-0U
-0T
-0S
-0R
-0Q
-0P
-0O
-0N
-0M
-0L
-0K
-b100 J
-b1000 I
-b1100 H
-b1100 G
-1F
-0E
-b1000 D
-b0 C
-b0 B
-b0 A
-0@
-0?
-0>
-b0 =
-b1100 <
-b1100 ;
-b10010000 :
-b0 9
-b0 8
-b0 7
-b101000100 6
-b0 5
-b0 4
-b100 3
-b10010000 2
-b0 1
-b0 0
-b0 /
-b0 .
-b0 -
-b10010000 ,
-b0 +
-b10 *
-b1100 )
-b1100 (
-b10 '
-0&
-b1100 %
-b1100 $
-0#
-b101000100 "
-0!
-$end
-#5
diff --git a/spartanTest/ALUTB.v b/spartanTest/ALUTB.v
deleted file mode 100644
index 5342de2..0000000
--- a/spartanTest/ALUTB.v
+++ /dev/null
@@ -1,42 +0,0 @@
-module ALUTB ();
-
-reg [3:0] A, B;
-reg CarryIN;
-reg [2:0] opCodeA;
-wire CarryOUT, overflow;
-wire [11:0] bcd;
-
-ALU uut(
- .A(A),
- .B(B),
- .CarryIN(CarryIN),
- .opCodeA(opCodeA),
- .CarryOUT(CarryOUT),
- .bcd(bcd),
- .overflow(overflow)
-);
-
-initial begin
- $dumpfile("ALU.vcd"); // GTKWAVE SIMULTAIN DATA WAVEFORM
- $dumpvars; // ICARUS VERILOG ADD ALL VARIABLES
- A = 4'b0000; B = 4'b0000; CarryIN = 1'b0; opCodeA = 3'b011; #5;
- A = 4'b0000; B = 4'b1111; CarryIN = 1'b0; opCodeA = 3'b011; #5;
- A = 4'b1111; B = 4'b0000; CarryIN = 1'b0; opCodeA = 3'b011; #5;
- A = 4'b1111; B = 4'b1111; CarryIN = 1'b1; opCodeA = 3'b011; #5;
- A = 4'b0111; B = 4'b0111; CarryIN = 1'b1; opCodeA = 3'b011; #5;
-
- A = 4'b0000; B = 4'b0000; CarryIN = 1'b0; opCodeA = 3'b111; #5;
- A = 4'b0000; B = 4'b1111; CarryIN = 1'b0; opCodeA = 3'b111; #5;
- A = 4'b1111; B = 4'b0000; CarryIN = 1'b0; opCodeA = 3'b111; #5;
- A = 4'b1111; B = 4'b1111; CarryIN = 1'b1; opCodeA = 3'b111; #5;
- A = 4'b0111; B = 4'b1111; CarryIN = 1'b1; opCodeA = 3'b111; #5;
-
- A = 4'b0000; B = 4'b0000; CarryIN = 1'b0; opCodeA = 3'b010; #5;
- A = 4'b0000; B = 4'b1111; CarryIN = 1'b0; opCodeA = 3'b010; #5;
- A = 4'b1111; B = 4'b0000; CarryIN = 1'b0; opCodeA = 3'b010; #5;
- A = 4'b1111; B = 4'b1111; CarryIN = 1'b1; opCodeA = 3'b010; #5;
- A = 4'b0111; B = 4'b1111; CarryIN = 1'b1; opCodeA = 3'b010; #5;
- $finish; //NOT CONTAIN CLK, BUT STILL STOPS CODE
-end
-
-endmodule
diff --git a/spartanTest/ALUtb.v b/spartanTest/ALUtb.v
deleted file mode 100644
index 4319a58..0000000
--- a/spartanTest/ALUtb.v
+++ /dev/null
@@ -1,26 +0,0 @@
-module ALUtb ();
-
- reg [3:0] A, B;
- reg CarryIN;
- reg [2:0] opCodeA;
- wire [11:0] bcd;
- wire CarryOUT, overflow;
-
-ALU uut (
- .A(A),
- .B(B),
- .CarryIN(CarryIN),
- .opCodeA(opCodeA),
- .bcd(bcd),
- .CarryOUT(CarryOUT),
- .overflow(overflow)
-);
-
-initial begin
- $dumpfile("ALU.vcd");
- $dumpvars;
- A = 4'b1100; B = 4'b1100; CarryIN = 1'b0; opCodeA = 3'b010; #5;
- $finish;
-end
-
-endmodule
diff --git a/spartanTest/BinaryToBCDTB.v b/spartanTest/BinaryToBCDTB.v
deleted file mode 100644
index 52742bc..0000000
--- a/spartanTest/BinaryToBCDTB.v
+++ /dev/null
@@ -1,40 +0,0 @@
-module BinaryToBCDTB;
- // Testbench signals
- reg [7:0] binary;
- wire [11:0] bcd; // Output BCD
-
- // Instantiate the BinaryToBCD module
- BinaryToBCD uut (
- .binary(binary),
- .bcd(bcd)
- );
-
- // Testbench procedure
- initial begin
- $monitor("Time: %0t | Binary: %b | BCD: %b (Hundreds: %d, Tens: %d, Ones: %d)",
- $time, binary, bcd, bcd[11:8], bcd[7:4], bcd[3:0]);
- $dumpfile("BinaryToBCD.vcd");
- $dumpvars;
- // Test cases
- binary = 8'b00000000; // Decimal: 0
- #10;
-
- binary = 8'b00001010; // Decimal: 10
- #10;
-
- binary = 8'b00101010; // Decimal: 42
- #10;
-
- binary = 8'b01100011; // Decimal: 99
- #10;
-
- binary = 8'b10011001; // Decimal: 153
- #10;
-
- binary = 8'b11111111; // Decimal: 255
- #10;
-
- // End simulation
- $finish;
- end
-endmodule
diff --git a/spartanTest/char_mem.v b/spartanTest/char_mem.v
deleted file mode 100644
index a7e9f9c..0000000
--- a/spartanTest/char_mem.v
+++ /dev/null
@@ -1,41 +0,0 @@
-module char_mem (
- input [4:0] addr,
- output [7:0] bus,
- input [3:0] A,
- input [3:0] B,
- input [2:0] opCode,
- input [7:0] Y
-);
- parameter LINES = 2;
- parameter CHARS_PER_LINE = 16;
- parameter BITS_PER_CHAR = 8;
- parameter STR_SIZE = LINES * CHARS_PER_LINE * BITS_PER_CHAR;
-
- // Map the data into strings for display
- wire [127:0] line1 = { "A:", nibble_to_ascii(A), " B:", nibble_to_ascii(B), " " };
- wire [127:0] line2 = { "op:", nibble_to_ascii({1'b0, opCode}), " Y:", byte_to_ascii(Y) };
-
- // Combine the two lines
- wire [0:STR_SIZE-1] display_data = { line1, line2 };
-
- // Address selection for the LCD
- assign bus = display_data[{addr[4:0], 3'b000}+:8];
-
- // Converts a 4-bit nibble to two ASCII characters
- function [15:0] nibble_to_ascii;
- input [3:0] nibble;
- begin
- nibble_to_ascii[15:8] = (nibble[3:0] >= 4'd10) ? (nibble[3:0] - 4'd10 + "A") : (nibble[3:0] + "0");
- nibble_to_ascii[7:0] = " ";
- end
- endfunction
-
- // Converts an 8-bit byte to two ASCII characters
- function [15:0] byte_to_ascii;
- input [7:0] byte;
- begin
- byte_to_ascii[15:8] = ((byte >> 4) >= 4'd10) ? ((byte >> 4) - 4'd10 + "A") : ((byte >> 4) + "0");
- byte_to_ascii[7:0] = ((byte & 4'hF) >= 4'd10) ? ((byte & 4'hF) - 4'd10 + "A") : ((byte & 4'hF) + "0");
- end
- endfunction
-endmodule
diff --git a/spartanTest/data.jed b/spartanTest/data.jed
deleted file mode 100644
index e09aea6..0000000
--- a/spartanTest/data.jed
+++ /dev/null
@@ -1,105 +0,0 @@
-JEDEC Programming File for /home/ise/ise/data.jed
-Date: Sat Oct 26 08:09:00 2024
-
-QF25812*
-QP0*
-F0*
-X0*
-N DEVICE xc2c64a-XXXXX*
-L000000 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L000274 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L000548 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L000822 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L001096 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L001370 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L001644 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L001918 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L002192 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L002466 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L002740 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003014 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003288 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003562 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L003836 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004110 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004384 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004658 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L004932 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L005206 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L005480 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L005754 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111000001111001*
-L006028 1111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111*
-L006302 1001111110000000111100111111001111110000000111100111111001111110000000111100111111001111110000000111100111111001111110000000111100111111001111110011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110101101111111111111111111*
-L006576 1111111111111111111111111111111111111110110101111111111111111111111111101101011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L006850 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L007124 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L007398 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L007672 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L007946 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L008220 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L008494 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L008768 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009042 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009316 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009590 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L009864 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010138 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010412 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111101110111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010686 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L010960 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L011234 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L011508 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L011782 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L012056 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L012330 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000111100111111001111110000000111100001110001111110000000111100111111001111110000000111100111111001111110000000111100111111001111110000000*
-L012604 1111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111100110000001000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111*
-L012878 0011111100111111001111111111111111111111111111111111111111111111111111111111111111111111101111010111111111111111111111111010110111111111111111111111001110111111111111111111111111111111101101011111111111111111111111111011010111111111111111111111111111111111111111111111111111*
-L013152 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L013426 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L013700 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L013974 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111101110111111011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014248 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014522 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L014796 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L015070 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L015344 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111011111101111011111111111111111111111111111111111111111111111111111111*
-L015618 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L015892 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016166 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016440 1111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016714 1111111111111111111111111111111111111101111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L016988 1111011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017262 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110111111111111111111111111111111111111111111111111111111111111111*
-L017536 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L017810 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L018084 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L018358 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L018632 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L018906 1111110000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011001001110001010000011110011111100111111000000011110011111100111111000000011110011111100111111000000011110011111010110001*
-L019180 0100000111100111110101100010100000111100111110101100010100000111100111111001111110000000111100111110101100010100000111100111111001111110000000111100111111001111110011111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L019454 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L019728 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L020002 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L020276 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L020550 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L020824 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L021098 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L021372 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L021646 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L021920 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L022194 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L022468 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L022742 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L023016 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L023290 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L023564 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L023838 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L024112 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L024386 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L024660 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L024934 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111*
-L025208 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111100000111100001110001111110000000111100001110001111110000000111100111111001111110000000111100111111001111110000000111100111*
-L025482 1110011111100000001111001111110011111100000001111000011100011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110011111100000001111001111110*
-L025756 01111110000000111100111111001111110000000111111110111111*
-C2A9A*
-0296
diff --git a/spartanTest/lcd.v b/spartanTest/lcd.v
deleted file mode 100644
index 4d7e68d..0000000
--- a/spartanTest/lcd.v
+++ /dev/null
@@ -1,53 +0,0 @@
-module lcd (
- input clk,
- output reg lcd_rs,
- output reg lcd_rw,
- output reg lcd_e,
- output reg [7:4] lcd_d,
- output [4:0] mem_addr,
- input [7:0] mem_bus
- );
-
- parameter n = 24;
- parameter j = 17; // Initialization is slow, runs at clk/2^(j+2) ~95Hz
- parameter k = 11; // Writing/seeking is fast, clk/2^(k_2) ~6KHz
- parameter noop = 6'b010000; // Allows LCD to drive lcd_d, can be safely written any time
-
- reg [n:0] count = 0;
- reg [5:0] lcd_state = noop;
- reg init = 1; // Start in initialization on power on
- reg row = 0; // Writing to top or or bottom row
-
- assign mem_addr = {row, count[k+6:k+3]};
-
- initial count[j+7:j+2] = 11;
-
- always @ (posedge clk) begin
- count <= count + 1;
- if (init) begin // initalization
- case (count[j+7:j+2])
- 1: lcd_state <= 6'b000010; // function set
- 2: lcd_state <= 6'b000010;
- 3: lcd_state <= 6'b001000;
- 4: lcd_state <= 6'b000000; // display on/off control
- 5: lcd_state <= 6'b001100;
- 6: lcd_state <= 6'b000000; // display clear
- 7: lcd_state <= 6'b000001;
- 8: lcd_state <= 6'b000000; // entry mode set
- 9: lcd_state <= 6'b000110;
- 10: begin init <= ~init; count <= 0; end
- endcase
- // Write lcd_state to the LCD and turn lcd_e high for the middle half of each lcd_state
- {lcd_e,lcd_rs,lcd_rw,lcd_d[7:4]} <= {^count[j+1:j+0] & ~lcd_rw,lcd_state};
- end else begin // Continuously update screen from memory
- case (count[k+7:k+2])
- 32: lcd_state <= {3'b001,~row,2'b00}; // Move cursor to begining of next line
- 33: lcd_state <= 6'b000000;
- 34: begin count <= 0; row <= ~row; end // Restart and switch which row is being written
- default: lcd_state <= {2'b10, ~count[k+2] ? mem_bus[7:4] : mem_bus[3:0]}; // Pull characters from bus
- endcase
- // Write lcd_state to the LCD and turn lcd_e high for the middle half of each lcd_state
- {lcd_e,lcd_rs,lcd_rw,lcd_d[7:4]} <= {^count[k+1:k+0] & ~lcd_rw,lcd_state};
- end
- end
-endmodule
\ No newline at end of file
diff --git a/spartanTest/logicUnitTB.v b/spartanTest/logicUnitTB.v
deleted file mode 100644
index 6e905b4..0000000
--- a/spartanTest/logicUnitTB.v
+++ /dev/null
@@ -1,37 +0,0 @@
-module logicUnitTB ();
-
-reg [2:0] opCode;
-reg [3:0] A, B;
-wire [3:0] resultA, resultO, resultX;
-
-logicUnit uut (
- .opCode(opCode),
- .A(A),
- .B(B),
- .resultA(resultA),
- .resultO(resultO),
- .resultX(resultX)
-);
-
-initial begin
- $dumpfile("logicUnit.vcd");
- $dumpvars;
- opCode = 3'b001; A = 4'b0001; B = 4'b0001; #2;
- opCode = 3'b001; A = 4'b0011; B = 4'b0001; #2;
- opCode = 3'b001; A = 4'b1001; B = 4'b1001; #2;
- opCode = 3'b001; A = 4'b1111; B = 4'b1111; #2;
- opCode = 3'b001; A = 4'b0000; B = 4'b0000; #2;
-
- opCode = 3'b010; A = 4'b0001; B = 4'b0101; #2;
- opCode = 3'b010; A = 4'b1001; B = 4'b0101; #2;
- opCode = 3'b010; A = 4'b0001; B = 4'b1111; #2;
- opCode = 3'b010; A = 4'b0000; B = 4'b0101; #2;
-
- opCode = 3'b100; A = 4'b0000; B = 4'b0101; #2;
- opCode = 3'b100; A = 4'b0000; B = 4'b0000; #2;
- opCode = 3'b100; A = 4'b0000; B = 4'b0101; #2;
- opCode = 3'b100; A = 4'b1111; B = 4'b1111; #2;
- $finish;
-end
-
-endmodule
diff --git a/spartanTest/multiplierTB.v b/spartanTest/multiplierTB.v
deleted file mode 100644
index d45c10b..0000000
--- a/spartanTest/multiplierTB.v
+++ /dev/null
@@ -1,22 +0,0 @@
-module multiplierTB();
-reg [3:0] A, B;
-wire [7:0] Y;
-
-multiplier uut(
- .A(A),
- .B(B),
- .Y(Y)
-);
-
-initial begin
- $dumpfile("multiplier.vcd");
- $dumpvars;
- A = 4'b0000; B = 4'b0000; #2;
- A = 4'b0000; B = 4'b1000; #2;
- A = 4'b1000; B = 4'b1000; #2;
- A = 4'b0111; B = 4'b0111; #2;
- A = 4'b1111; B = 4'b1111; #2;
- $finish;
-end
-
-endmodule
diff --git a/spartanTest/opCodeTB.v b/spartanTest/opCodeTB.v
deleted file mode 100644
index 67df050..0000000
--- a/spartanTest/opCodeTB.v
+++ /dev/null
@@ -1,26 +0,0 @@
-module opCodeTB();
-
-reg [2:0] A;
-wire [7:0] opCode;
-
-opCode uut (
- .A(A),
-
- .opCode(opCode)
-);
-
-initial begin
- $dumpfile("opCode.vcd");
- $dumpvars;
- A = 3'b000; #3;
- A = 3'b001; #3;
- A = 3'b010; #3;
- A = 3'b011; #3;
- A = 3'b100; #3;
- A = 3'b101; #3;
- A = 3'b110; #3;
- A = 3'b111; #3;
- $finish;
-end
-
-endmodule
diff --git a/spartanTest/selector b/spartanTest/selector
deleted file mode 100644
index e4adfe9..0000000
--- a/spartanTest/selector
+++ /dev/null
@@ -1,140 +0,0 @@
-#! /usr/bin/vvp
-:ivl_version "11.0 (stable)";
-:ivl_delay_selection "TYPICAL";
-:vpi_time_precision + 0;
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/system.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_sys.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi";
-:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi";
-S_0x558eb92edb80 .scope module, "selectorTB" "selectorTB" 2 1;
- .timescale 0 0;
-v0x558eb9317af0_0 .var "A", 3 0;
-v0x558eb9317bd0_0 .var "ALUY", 7 0;
-v0x558eb9317ca0_0 .var "B", 3 0;
-v0x558eb9317da0_0 .net "Y", 7 0, v0x558eb9317740_0; 1 drivers
-v0x558eb9317e70_0 .var "opCodeA", 2 0;
-v0x558eb9317f60_0 .var "select", 1 0;
-S_0x558eb9302140 .scope module, "uut" "selector" 2 9, 3 1 0, S_0x558eb92edb80;
- .timescale 0 0;
- .port_info 0 /INPUT 4 "A";
- .port_info 1 /INPUT 4 "B";
- .port_info 2 /INPUT 3 "opCodeA";
- .port_info 3 /INPUT 2 "select";
- .port_info 4 /INPUT 8 "ALUY";
- .port_info 5 /OUTPUT 8 "Y";
-v0x558eb9302350_0 .net "A", 3 0, v0x558eb9317af0_0; 1 drivers
-v0x558eb93175a0_0 .net "ALUY", 7 0, v0x558eb9317bd0_0; 1 drivers
-v0x558eb9317680_0 .net "B", 3 0, v0x558eb9317ca0_0; 1 drivers
-v0x558eb9317740_0 .var "Y", 7 0;
-v0x558eb9317820_0 .net "opCodeA", 2 0, v0x558eb9317e70_0; 1 drivers
-v0x558eb9317950_0 .net "select", 1 0, v0x558eb9317f60_0; 1 drivers
-E_0x558eb93001f0/0 .event edge, v0x558eb9317950_0, v0x558eb9302350_0, v0x558eb9317680_0, v0x558eb9317820_0;
-E_0x558eb93001f0/1 .event edge, v0x558eb93175a0_0;
-E_0x558eb93001f0 .event/or E_0x558eb93001f0/0, E_0x558eb93001f0/1;
- .scope S_0x558eb9302140;
-T_0 ;
- %wait E_0x558eb93001f0;
- %load/vec4 v0x558eb9317950_0;
- %dup/vec4;
- %pushi/vec4 0, 0, 2;
- %cmp/u;
- %jmp/1 T_0.0, 6;
- %dup/vec4;
- %pushi/vec4 1, 0, 2;
- %cmp/u;
- %jmp/1 T_0.1, 6;
- %dup/vec4;
- %pushi/vec4 2, 0, 2;
- %cmp/u;
- %jmp/1 T_0.2, 6;
- %dup/vec4;
- %pushi/vec4 3, 0, 2;
- %cmp/u;
- %jmp/1 T_0.3, 6;
- %pushi/vec4 0, 0, 8;
- %store/vec4 v0x558eb9317740_0, 0, 8;
- %jmp T_0.5;
-T_0.0 ;
- %pushi/vec4 0, 0, 4;
- %load/vec4 v0x558eb9302350_0;
- %concat/vec4; draw_concat_vec4
- %store/vec4 v0x558eb9317740_0, 0, 8;
- %jmp T_0.5;
-T_0.1 ;
- %pushi/vec4 0, 0, 4;
- %load/vec4 v0x558eb9317680_0;
- %concat/vec4; draw_concat_vec4
- %store/vec4 v0x558eb9317740_0, 0, 8;
- %jmp T_0.5;
-T_0.2 ;
- %pushi/vec4 0, 0, 5;
- %load/vec4 v0x558eb9317820_0;
- %concat/vec4; draw_concat_vec4
- %store/vec4 v0x558eb9317740_0, 0, 8;
- %jmp T_0.5;
-T_0.3 ;
- %load/vec4 v0x558eb93175a0_0;
- %store/vec4 v0x558eb9317740_0, 0, 8;
- %jmp T_0.5;
-T_0.5 ;
- %pop/vec4 1;
- %jmp T_0;
- .thread T_0, $push;
- .scope S_0x558eb92edb80;
-T_1 ;
- %vpi_call 2 19 "$dumpfile", "selector.vcd" {0 0 0};
- %vpi_call 2 20 "$dumpvars" {0 0 0};
- %pushi/vec4 1, 0, 4;
- %store/vec4 v0x558eb9317af0_0, 0, 4;
- %pushi/vec4 2, 0, 4;
- %store/vec4 v0x558eb9317ca0_0, 0, 4;
- %pushi/vec4 7, 0, 3;
- %store/vec4 v0x558eb9317e70_0, 0, 3;
- %pushi/vec4 240, 0, 8;
- %store/vec4 v0x558eb9317bd0_0, 0, 8;
- %pushi/vec4 0, 0, 2;
- %store/vec4 v0x558eb9317f60_0, 0, 2;
- %delay 5, 0;
- %pushi/vec4 1, 0, 4;
- %store/vec4 v0x558eb9317af0_0, 0, 4;
- %pushi/vec4 2, 0, 4;
- %store/vec4 v0x558eb9317ca0_0, 0, 4;
- %pushi/vec4 7, 0, 3;
- %store/vec4 v0x558eb9317e70_0, 0, 3;
- %pushi/vec4 240, 0, 8;
- %store/vec4 v0x558eb9317bd0_0, 0, 8;
- %pushi/vec4 1, 0, 2;
- %store/vec4 v0x558eb9317f60_0, 0, 2;
- %delay 5, 0;
- %pushi/vec4 1, 0, 4;
- %store/vec4 v0x558eb9317af0_0, 0, 4;
- %pushi/vec4 2, 0, 4;
- %store/vec4 v0x558eb9317ca0_0, 0, 4;
- %pushi/vec4 7, 0, 3;
- %store/vec4 v0x558eb9317e70_0, 0, 3;
- %pushi/vec4 112, 0, 8;
- %store/vec4 v0x558eb9317bd0_0, 0, 8;
- %pushi/vec4 2, 0, 2;
- %store/vec4 v0x558eb9317f60_0, 0, 2;
- %delay 5, 0;
- %pushi/vec4 1, 0, 4;
- %store/vec4 v0x558eb9317af0_0, 0, 4;
- %pushi/vec4 2, 0, 4;
- %store/vec4 v0x558eb9317ca0_0, 0, 4;
- %pushi/vec4 7, 0, 3;
- %store/vec4 v0x558eb9317e70_0, 0, 3;
- %pushi/vec4 112, 0, 8;
- %store/vec4 v0x558eb9317bd0_0, 0, 8;
- %pushi/vec4 3, 0, 2;
- %store/vec4 v0x558eb9317f60_0, 0, 2;
- %delay 5, 0;
- %vpi_call 2 25 "$finish" {0 0 0};
- %end;
- .thread T_1;
-# The file index is used to find the file name in the following table.
-:file_names 4;
- "N/A";
- "";
- "selectorTB.v";
- "selector.v";
diff --git a/spartanTest/selector.v b/spartanTest/selector.v
deleted file mode 100644
index 57052c5..0000000
--- a/spartanTest/selector.v
+++ /dev/null
@@ -1,20 +0,0 @@
-module selector (
- input [3:0] A,
- input [3:0] B,
- input [2:0] opCodeA,
- input [1:0] select,
- input [7:0] ALUY,
- output reg [7:0] Y
-);
-
-always @(*) begin
- case (select)
- 2'b00: Y = {4'b0000, A}; // Zero-extend A to 8 bits
- 2'b01: Y = {4'b0000, B}; // Zero-extend B to 8 bits
- 2'b10: Y = {5'b00000, opCodeA}; // Zero-extend opCodeA to 8 bits
- 2'b11: Y = ALUY; // Directly assign ALUY
- default: Y = 8'b00000000; // Default case for safety
- endcase
-end
-
-endmodule
diff --git a/spartanTest/selector.vcd b/spartanTest/selector.vcd
deleted file mode 100644
index 6bcb8a1..0000000
--- a/spartanTest/selector.vcd
+++ /dev/null
@@ -1,59 +0,0 @@
-$date
- Sat Jan 18 17:21:23 2025
-$end
-$version
- Icarus Verilog
-$end
-$timescale
- 1s
-$end
-$scope module selectorTB $end
-$var wire 8 ! Y [7:0] $end
-$var reg 4 " A [3:0] $end
-$var reg 8 # ALUY [7:0] $end
-$var reg 4 $ B [3:0] $end
-$var reg 3 % opCodeA [2:0] $end
-$var reg 2 & select [1:0] $end
-$scope module uut $end
-$var wire 4 ' A [3:0] $end
-$var wire 8 ( ALUY [7:0] $end
-$var wire 4 ) B [3:0] $end
-$var wire 3 * opCodeA [2:0] $end
-$var wire 2 + select [1:0] $end
-$var reg 8 , Y [7:0] $end
-$upscope $end
-$upscope $end
-$enddefinitions $end
-#0
-$dumpvars
-b1 ,
-b0 +
-b111 *
-b10 )
-b11110000 (
-b1 '
-b0 &
-b111 %
-b10 $
-b11110000 #
-b1 "
-b1 !
-$end
-#5
-b10 !
-b10 ,
-b1 &
-b1 +
-#10
-b111 !
-b111 ,
-b10 &
-b10 +
-b1110000 #
-b1110000 (
-#15
-b1110000 !
-b1110000 ,
-b11 &
-b11 +
-#20
diff --git a/spartanTest/selectorTB.v b/spartanTest/selectorTB.v
deleted file mode 100644
index ba11c01..0000000
--- a/spartanTest/selectorTB.v
+++ /dev/null
@@ -1,28 +0,0 @@
-module selectorTB();
-
-reg [1:0] select;
-reg [3:0] A, B;
-reg [7:0] ALUY;
-reg [2:0] opCodeA;
-wire [7:0] Y;
-
-selector uut (
- .select(select),
- .A(A),
- .B(B),
- .opCodeA(opCodeA),
- .ALUY(ALUY),
- .Y(Y)
-);
-
-initial begin
- $dumpfile("selector.vcd");
- $dumpvars;
- A = 4'b0001; B = 4'b0010; opCodeA = 3'b111; ALUY = 8'b1111_0000; select = 2'b00; #5;
- A = 4'b0001; B = 4'b0010; opCodeA = 3'b111; ALUY = 8'b1111_0000; select = 2'b01; #5;
- A = 4'b0001; B = 4'b0010; opCodeA = 3'b111; ALUY = 8'b0111_0000; select = 2'b10; #5;
- A = 4'b0001; B = 4'b0010; opCodeA = 3'b111; ALUY = 8'b0111_0000; select = 2'b11; #5;
- $finish;
-end
-
-endmodule
diff --git a/spartanTest/spartan3e.ucf b/spartanTest/spartan3e.ucf
deleted file mode 100644
index 03177c2..0000000
--- a/spartanTest/spartan3e.ucf
+++ /dev/null
@@ -1,22 +0,0 @@
-# Clock signal
-NET "clk" LOC = "C9" | IOSTANDARD = LVCMOS33 ;
-
-# Slide Switches
-NET "switches<0>" LOC = "L13" | IOSTANDARD = LVCMOS33 | PULLUP ;
-NET "switches<1>" LOC = "L14" | IOSTANDARD = LVCMOS33 | PULLUP ;
-NET "switches<2>" LOC = "H18" | IOSTANDARD = LVCMOS33 | PULLUP ;
-NET "switches<3>" LOC = "N17" | IOSTANDARD = LVCMOS33 | PULLUP ;
-
-# Rotary Encoder
-NET "rot_a" LOC = "K18" | IOSTANDARD = LVCMOS33 | PULLUP ;
-NET "rot_b" LOC = "G18" | IOSTANDARD = LVCMOS33 | PULLUP ;
-NET "rot_center" LOC = "V16" | IOSTANDARD = LVCMOS33 | PULLDOWN ;
-
-# LCD Interface
-NET "lcd_e" LOC = "M18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
-NET "lcd_rs" LOC = "L18" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
-NET "lcd_rw" LOC = "L17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
-NET "lcd_d<4>" LOC = "R15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
-NET "lcd_d<5>" LOC = "R16" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
-NET "lcd_d<6>" LOC = "P17" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
-NET "lcd_d<7>" LOC = "M15" | IOSTANDARD = LVCMOS33 | DRIVE = 4 | SLEW = SLOW ;
diff --git a/spartanTest/subtractionTB.v b/spartanTest/subtractionTB.v
deleted file mode 100644
index efc7db9..0000000
--- a/spartanTest/subtractionTB.v
+++ /dev/null
@@ -1,41 +0,0 @@
-module subtractionTB;
-
-reg [3:0] A, B;
-reg BorrowIN;
-wire [3:0] Y;
-wire BorrowOUT;
-
-// Instantiate the subtraction module
-subtraction uut (
- .A(A),
- .B(B),
- .BorrowIN(BorrowIN),
- .Y(Y),
- .BorrowOUT(BorrowOUT)
-);
-
-initial begin
- $dumpfile("subtraction.vcd");
- $dumpvars;
- // Initialize inputs
- A = 4'b0000; // Set A to 0
- B = 4'b0000; // Set B to 0
- BorrowIN = 0; // No borrow input
-
- // Apply test cases
- #10 A = 4'b0110; B = 4'b0010; BorrowIN = 0; // A = 6, B = 2
- #10 A = 4'b0010; B = 4'b0110; BorrowIN = 0; // A = 2, B = 6
- #10 A = 4'b1100; B = 4'b0100; BorrowIN = 0; // A = -4, B = 4
- #10 A = 4'b1000; B = 4'b1000; BorrowIN = 0; // A = -8, B = -8
- #10 A = 4'b1111; B = 4'b0001; BorrowIN = 1; // A = -1, B = 1, with borrow input
-
- // Wait for the results
- #10 $finish;
-end
-
-//initial begin
- // Monitor the values of Y and overflow
- // $monitor("At time %t: A = %b, B = %b, Y = %b, BorrowOut = %b, overflow = %b", $time, A, B, Y, BorrowOut, overflow);
-//end
-
-endmodule
diff --git a/spartanTest/switchRotary.v b/spartanTest/switchRotary.v
deleted file mode 100644
index 6ef120a..0000000
--- a/spartanTest/switchRotary.v
+++ /dev/null
@@ -1,61 +0,0 @@
-module switchRotary(
- input clk, // Clock signal
- input [3:0] switches, // Slide switches SW3 to SW0
- input rot_a, rot_b, // Rotary encoder signals
- input rot_center, // Rotary encoder push button
- output reg [3:0] A = 0, // Value of A
- output reg [3:0] B = 0, // Value of B
- output reg [2:0] opCode = 0 // Value of opCode
-);
- // Internal signals for rotary encoder
- reg [1:0] rot_state = 2'b00;
- reg [1:0] rot_prev = 2'b00;
-
- // Selected register for modification
- reg [1:0] selected = 2'b00; // 0 = A, 1 = B, 2 = opCode
-
- // Debouncing for rotary center button
- reg [15:0] debounce_counter = 0;
- reg debounce_pressed = 0;
-
- // Update selected register on rotary center press
- always @(posedge clk) begin
- if (rot_center && !debounce_pressed) begin
- debounce_pressed <= 1;
- selected <= selected + 1;
- end
- if (!rot_center) begin
- debounce_pressed <= 0;
- end
- end
-
- // Handle rotary encoder signals
- always @(posedge clk) begin
- rot_prev <= rot_state;
- rot_state <= {rot_a, rot_b};
-
- // Detect clockwise or counterclockwise rotation
- if (rot_prev == 2'b01 && rot_state == 2'b11) begin
- case (selected)
- 2'b00: if (A < 15) A <= A + 1;
- 2'b01: if (B < 15) B <= B + 1;
- 2'b10: if (opCode < 7) opCode <= opCode + 1;
- endcase
- end else if (rot_prev == 2'b11 && rot_state == 2'b01) begin
- case (selected)
- 2'b00: if (A > 0) A <= A - 1;
- 2'b01: if (B > 0) B <= B - 1;
- 2'b10: if (opCode > 0) opCode <= opCode - 1;
- endcase
- end
- end
-
- // Update A, B, or opCode based on switches
- always @(posedge clk) begin
- case (switches)
- 4'b0001: A <= switches[3:0];
- 4'b0010: B <= switches[3:0];
- 4'b1000: opCode <= switches[2:0];
- endcase
- end
-endmodule
diff --git a/spartanTest/top.v b/spartanTest/top.v
deleted file mode 100644
index 5373c68..0000000
--- a/spartanTest/top.v
+++ /dev/null
@@ -1,62 +0,0 @@
-module top (
- input clk, // Clock signal
- input [3:0] switches, // Slide switches SW3 to SW0
- input rot_a, rot_b, // Rotary encoder signals
- input rot_center, // Rotary encoder push button
- output lcd_rs, // LCD Register Select
- output lcd_rw, // LCD Read/Write
- output lcd_e, // LCD Enable
- output [7:4] lcd_d // LCD Data
-);
- // Internal signals
- wire [3:0] A;
- wire [3:0] B;
- wire [2:0] opCode;
- wire [7:0] Y;
- wire [4:0] mem_addr;
- wire [7:0] mem_bus;
-
- // ALU Instance
- ALU alu_inst (
- .A(A),
- .B(B),
- .CarryIN(1'b0), // No carry-in for this implementation
- .opCodeA(opCode),
- .Y(Y),
- .CarryOUT(), // Unused output
- .overflow() // Unused output
- );
-
- // Switch and Rotary Controller
- switch_and_rotary switch_rotary_inst (
- .clk(clk),
- .switches(switches),
- .rot_a(rot_a),
- .rot_b(rot_b),
- .rot_center(rot_center),
- .A(A),
- .B(B),
- .opCode(opCode)
- );
-
- // Character Memory
- char_mem char_mem_inst (
- .addr(mem_addr),
- .bus(mem_bus),
- .A(A),
- .B(B),
- .opCode(opCode),
- .Y(Y)
- );
-
- // LCD Controller
- lcd lcd_inst (
- .clk(clk),
- .lcd_rs(lcd_rs),
- .lcd_rw(lcd_rw),
- .lcd_e(lcd_e),
- .lcd_d(lcd_d),
- .mem_addr(mem_addr),
- .mem_bus(mem_bus)
- );
-endmodule
diff --git a/tangTest/ALU b/tangTest/ALU
new file mode 100644
index 0000000..c0494c2
--- /dev/null
+++ b/tangTest/ALU
@@ -0,0 +1,2181 @@
+#! /usr/bin/vvp
+:ivl_version "11.0 (stable)";
+:ivl_delay_selection "TYPICAL";
+:vpi_time_precision + 0;
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/system.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_sys.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi";
+S_0x55feb95444b0 .scope module, "ALUtb" "ALUtb" 2 1;
+ .timescale 0 0;
+v0x55feb9628710_0 .var "A", 3 0;
+v0x55feb96287f0_0 .var "B", 3 0;
+v0x55feb96288b0_0 .var "CarryIN", 0 0;
+v0x55feb9628980_0 .net "CarryOUT", 0 0, L_0x55feb96395f0; 1 drivers
+v0x55feb9628a20_0 .net "Y", 7 0, L_0x55feb9656220; 1 drivers
+v0x55feb9628b10_0 .var "opCodeA", 2 0;
+v0x55feb9628c00_0 .net "overflow", 0 0, L_0x55feb9639700; 1 drivers
+S_0x55feb95e4330 .scope module, "uut" "ALU" 2 9, 3 1 0, S_0x55feb95444b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /INPUT 3 "opCodeA";
+ .port_info 4 /OUTPUT 8 "Y";
+ .port_info 5 /OUTPUT 1 "CarryOUT";
+ .port_info 6 /OUTPUT 1 "overflow";
+L_0x55feb964b710 .functor OR 1, L_0x55feb964b780, L_0x55feb964b870, C4<0>, C4<0>;
+L_0x55feb964b960 .functor OR 1, L_0x55feb964b9d0, L_0x55feb964bb50, C4<0>, C4<0>;
+L_0x55feb964bc80 .functor OR 1, L_0x55feb964bcf0, L_0x55feb964bd90, C4<0>, C4<0>;
+L_0x55feb964c060 .functor OR 1, L_0x55feb964c120, L_0x55feb964c210, C4<0>, C4<0>;
+L_0x55feb964c2b0 .functor OR 1, L_0x55feb964c320, L_0x55feb964c4d0, C4<0>, C4<0>;
+L_0x55feb964c5c0 .functor OR 1, L_0x55feb964c630, L_0x55feb964c7a0, C4<0>, C4<0>;
+L_0x55feb964c460 .functor OR 1, L_0x55feb964c920, L_0x55feb964cae0, C4<0>, C4<0>;
+L_0x55feb964cdb0 .functor OR 1, L_0x55feb964cec0, L_0x55feb964cfb0, C4<0>, C4<0>;
+L_0x55feb964d150 .functor AND 1, L_0x55feb964cd10, L_0x55feb964d210, C4<1>, C4<1>;
+L_0x55feb964d3c0 .functor AND 1, L_0x55feb964d430, L_0x55feb964d4d0, C4<1>, C4<1>;
+L_0x55feb964d690 .functor AND 1, L_0x55feb964d700, L_0x55feb964d7a0, C4<1>, C4<1>;
+L_0x55feb964db00 .functor AND 1, L_0x55feb964dc30, L_0x55feb964de10, C4<1>, C4<1>;
+L_0x55feb964df00 .functor AND 1, L_0x55feb964df70, L_0x55feb964e160, C4<1>, C4<1>;
+L_0x55feb964e250 .functor AND 1, L_0x55feb964e340, L_0x55feb964e540, C4<1>, C4<1>;
+L_0x55feb964dbc0 .functor AND 1, L_0x55feb964e630, L_0x55feb964e7f0, C4<1>, C4<1>;
+L_0x55feb964e6d0 .functor AND 1, L_0x55feb964ec30, L_0x55feb964ecd0, C4<1>, C4<1>;
+L_0x55feb964ef00 .functor AND 1, L_0x55feb964ef70, L_0x55feb964f060, C4<1>, C4<1>;
+L_0x55feb964f2a0 .functor AND 1, L_0x55feb964f3b0, L_0x55feb964f4a0, C4<1>, C4<1>;
+L_0x55feb964f6f0 .functor AND 1, L_0x55feb964f760, L_0x55feb964f800, C4<1>, C4<1>;
+L_0x55feb964fa60 .functor AND 1, L_0x55feb964f310, L_0x55feb964fbd0, C4<1>, C4<1>;
+L_0x55feb964fe40 .functor AND 1, L_0x55feb964feb0, L_0x55feb964ffa0, C4<1>, C4<1>;
+L_0x55feb9650220 .functor AND 1, L_0x55feb964fad0, L_0x55feb96503a0, C4<1>, C4<1>;
+L_0x55feb9650630 .functor AND 1, L_0x55feb96506a0, L_0x55feb9650790, C4<1>, C4<1>;
+L_0x55feb9650d50 .functor AND 1, L_0x55feb9650ee0, L_0x55feb9651190, C4<1>, C4<1>;
+L_0x55feb9651280 .functor OR 1, L_0x55feb96512f0, L_0x55feb9651600, C4<0>, C4<0>;
+L_0x55feb9651740 .functor OR 1, L_0x55feb9651890, L_0x55feb9651b60, C4<0>, C4<0>;
+L_0x55feb9651c50 .functor OR 1, L_0x55feb9651cc0, L_0x55feb9651fa0, C4<0>, C4<0>;
+L_0x55feb9652410 .functor OR 1, L_0x55feb96525c0, L_0x55feb96526b0, C4<0>, C4<0>;
+L_0x55feb96529b0 .functor OR 1, L_0x55feb9652a20, L_0x55feb9652b60, C4<0>, C4<0>;
+L_0x55feb9652ec0 .functor OR 1, L_0x55feb9653030, L_0x55feb9653120, C4<0>, C4<0>;
+L_0x55feb9653440 .functor OR 1, L_0x55feb96534b0, L_0x55feb9653630, C4<0>, C4<0>;
+L_0x55feb9653ae0 .functor OR 1, L_0x55feb9653cb0, L_0x55feb9653ff0, C4<0>, C4<0>;
+L_0x55feb96540e0 .functor OR 1, L_0x55feb9654150, L_0x55feb96544f0, C4<0>, C4<0>;
+L_0x55feb9654630 .functor OR 1, L_0x55feb96547c0, L_0x55feb9654b20, C4<0>, C4<0>;
+L_0x55feb9654c10 .functor OR 1, L_0x55feb9654c80, L_0x55feb9655080, C4<0>, C4<0>;
+L_0x55feb96551b0 .functor OR 1, L_0x55feb96546a0, L_0x55feb96555e0, C4<0>, C4<0>;
+L_0x7fc0ebe90330 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9655680 .functor OR 1, L_0x7fc0ebe90330, L_0x55feb9655740, C4<0>, C4<0>;
+L_0x7fc0ebe90378 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9655ad0 .functor OR 1, L_0x7fc0ebe90378, L_0x55feb9655cd0, C4<0>, C4<0>;
+L_0x7fc0ebe903c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9655dc0 .functor OR 1, L_0x7fc0ebe903c0, L_0x55feb9655e80, C4<0>, C4<0>;
+L_0x7fc0ebe90408 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9656590 .functor OR 1, L_0x7fc0ebe90408, L_0x55feb96567f0, C4<0>, C4<0>;
+v0x55feb9620020_0 .net "A", 3 0, v0x55feb9628710_0; 1 drivers
+v0x55feb96200e0_0 .net "B", 3 0, v0x55feb96287f0_0; 1 drivers
+v0x55feb96201a0_0 .net "CarryIN", 0 0, v0x55feb96288b0_0; 1 drivers
+v0x55feb9620240_0 .net "CarryOUT", 0 0, L_0x55feb96395f0; alias, 1 drivers
+v0x55feb9620310_0 .net "Y", 7 0, L_0x55feb9656220; alias, 1 drivers
+v0x55feb96203b0_0 .net *"_ivl_10", 0 0, L_0x55feb964b960; 1 drivers
+v0x55feb9620490_0 .net *"_ivl_101", 0 0, L_0x55feb964ec30; 1 drivers
+v0x55feb9620570_0 .net *"_ivl_103", 0 0, L_0x55feb964ecd0; 1 drivers
+v0x55feb9620650_0 .net *"_ivl_104", 0 0, L_0x55feb964ef00; 1 drivers
+v0x55feb9620730_0 .net *"_ivl_107", 0 0, L_0x55feb964ef70; 1 drivers
+v0x55feb9620810_0 .net *"_ivl_109", 0 0, L_0x55feb964f060; 1 drivers
+v0x55feb96208f0_0 .net *"_ivl_110", 0 0, L_0x55feb964f2a0; 1 drivers
+v0x55feb96209d0_0 .net *"_ivl_113", 0 0, L_0x55feb964f3b0; 1 drivers
+v0x55feb9620ab0_0 .net *"_ivl_115", 0 0, L_0x55feb964f4a0; 1 drivers
+v0x55feb9620b90_0 .net *"_ivl_116", 0 0, L_0x55feb964f6f0; 1 drivers
+v0x55feb9620c70_0 .net *"_ivl_119", 0 0, L_0x55feb964f760; 1 drivers
+v0x55feb9620d50_0 .net *"_ivl_121", 0 0, L_0x55feb964f800; 1 drivers
+v0x55feb9620e30_0 .net *"_ivl_122", 0 0, L_0x55feb964fa60; 1 drivers
+v0x55feb9620f10_0 .net *"_ivl_125", 0 0, L_0x55feb964f310; 1 drivers
+v0x55feb9620ff0_0 .net *"_ivl_127", 0 0, L_0x55feb964fbd0; 1 drivers
+v0x55feb96210d0_0 .net *"_ivl_128", 0 0, L_0x55feb964fe40; 1 drivers
+v0x55feb96211b0_0 .net *"_ivl_13", 0 0, L_0x55feb964b9d0; 1 drivers
+v0x55feb9621290_0 .net *"_ivl_131", 0 0, L_0x55feb964feb0; 1 drivers
+v0x55feb9621370_0 .net *"_ivl_133", 0 0, L_0x55feb964ffa0; 1 drivers
+v0x55feb9621450_0 .net *"_ivl_134", 0 0, L_0x55feb9650220; 1 drivers
+v0x55feb9621530_0 .net *"_ivl_137", 0 0, L_0x55feb964fad0; 1 drivers
+v0x55feb9621610_0 .net *"_ivl_139", 0 0, L_0x55feb96503a0; 1 drivers
+v0x55feb96216f0_0 .net *"_ivl_140", 0 0, L_0x55feb9650630; 1 drivers
+v0x55feb96217d0_0 .net *"_ivl_143", 0 0, L_0x55feb96506a0; 1 drivers
+v0x55feb96218b0_0 .net *"_ivl_145", 0 0, L_0x55feb9650790; 1 drivers
+v0x55feb9621990_0 .net *"_ivl_146", 0 0, L_0x55feb9650d50; 1 drivers
+v0x55feb9621a70_0 .net *"_ivl_15", 0 0, L_0x55feb964bb50; 1 drivers
+v0x55feb9621b50_0 .net *"_ivl_150", 0 0, L_0x55feb9650ee0; 1 drivers
+v0x55feb9621c30_0 .net *"_ivl_152", 0 0, L_0x55feb9651190; 1 drivers
+v0x55feb9621d10_0 .net *"_ivl_153", 0 0, L_0x55feb9651280; 1 drivers
+v0x55feb9621df0_0 .net *"_ivl_156", 0 0, L_0x55feb96512f0; 1 drivers
+v0x55feb9621ed0_0 .net *"_ivl_158", 0 0, L_0x55feb9651600; 1 drivers
+v0x55feb9621fb0_0 .net *"_ivl_159", 0 0, L_0x55feb9651740; 1 drivers
+v0x55feb9622090_0 .net *"_ivl_16", 0 0, L_0x55feb964bc80; 1 drivers
+v0x55feb9622170_0 .net *"_ivl_162", 0 0, L_0x55feb9651890; 1 drivers
+v0x55feb9622250_0 .net *"_ivl_164", 0 0, L_0x55feb9651b60; 1 drivers
+v0x55feb9622330_0 .net *"_ivl_165", 0 0, L_0x55feb9651c50; 1 drivers
+v0x55feb9622410_0 .net *"_ivl_168", 0 0, L_0x55feb9651cc0; 1 drivers
+v0x55feb96224f0_0 .net *"_ivl_170", 0 0, L_0x55feb9651fa0; 1 drivers
+v0x55feb96225d0_0 .net *"_ivl_171", 0 0, L_0x55feb9652410; 1 drivers
+v0x55feb96226b0_0 .net *"_ivl_175", 0 0, L_0x55feb96525c0; 1 drivers
+v0x55feb9622790_0 .net *"_ivl_177", 0 0, L_0x55feb96526b0; 1 drivers
+v0x55feb9622870_0 .net *"_ivl_178", 0 0, L_0x55feb96529b0; 1 drivers
+v0x55feb9622950_0 .net *"_ivl_181", 0 0, L_0x55feb9652a20; 1 drivers
+v0x55feb9622a30_0 .net *"_ivl_183", 0 0, L_0x55feb9652b60; 1 drivers
+v0x55feb9622b10_0 .net *"_ivl_184", 0 0, L_0x55feb9652ec0; 1 drivers
+v0x55feb9622bf0_0 .net *"_ivl_187", 0 0, L_0x55feb9653030; 1 drivers
+v0x55feb9622cd0_0 .net *"_ivl_189", 0 0, L_0x55feb9653120; 1 drivers
+v0x55feb9622db0_0 .net *"_ivl_19", 0 0, L_0x55feb964bcf0; 1 drivers
+v0x55feb9622e90_0 .net *"_ivl_190", 0 0, L_0x55feb9653440; 1 drivers
+v0x55feb9622f70_0 .net *"_ivl_193", 0 0, L_0x55feb96534b0; 1 drivers
+v0x55feb9623050_0 .net *"_ivl_195", 0 0, L_0x55feb9653630; 1 drivers
+v0x55feb9623130_0 .net *"_ivl_196", 0 0, L_0x55feb9653ae0; 1 drivers
+v0x55feb9623210_0 .net *"_ivl_200", 0 0, L_0x55feb9653cb0; 1 drivers
+v0x55feb96232f0_0 .net *"_ivl_202", 0 0, L_0x55feb9653ff0; 1 drivers
+v0x55feb96233d0_0 .net *"_ivl_203", 0 0, L_0x55feb96540e0; 1 drivers
+v0x55feb96234b0_0 .net *"_ivl_206", 0 0, L_0x55feb9654150; 1 drivers
+v0x55feb9623590_0 .net *"_ivl_208", 0 0, L_0x55feb96544f0; 1 drivers
+v0x55feb9623670_0 .net *"_ivl_209", 0 0, L_0x55feb9654630; 1 drivers
+v0x55feb9623750_0 .net *"_ivl_21", 0 0, L_0x55feb964bd90; 1 drivers
+v0x55feb9623c40_0 .net *"_ivl_212", 0 0, L_0x55feb96547c0; 1 drivers
+v0x55feb9623d20_0 .net *"_ivl_214", 0 0, L_0x55feb9654b20; 1 drivers
+v0x55feb9623e00_0 .net *"_ivl_215", 0 0, L_0x55feb9654c10; 1 drivers
+v0x55feb9623ee0_0 .net *"_ivl_218", 0 0, L_0x55feb9654c80; 1 drivers
+v0x55feb9623fc0_0 .net *"_ivl_22", 0 0, L_0x55feb964c060; 1 drivers
+v0x55feb96240a0_0 .net *"_ivl_220", 0 0, L_0x55feb9655080; 1 drivers
+v0x55feb9624180_0 .net *"_ivl_221", 0 0, L_0x55feb96551b0; 1 drivers
+v0x55feb9624260_0 .net *"_ivl_224", 0 0, L_0x55feb96546a0; 1 drivers
+v0x55feb9624340_0 .net *"_ivl_226", 0 0, L_0x55feb96555e0; 1 drivers
+v0x55feb9624420_0 .net *"_ivl_227", 0 0, L_0x55feb9655680; 1 drivers
+v0x55feb9624500_0 .net/2u *"_ivl_229", 0 0, L_0x7fc0ebe90330; 1 drivers
+v0x55feb96245e0_0 .net *"_ivl_232", 0 0, L_0x55feb9655740; 1 drivers
+v0x55feb96246c0_0 .net *"_ivl_233", 0 0, L_0x55feb9655ad0; 1 drivers
+v0x55feb96247a0_0 .net/2u *"_ivl_235", 0 0, L_0x7fc0ebe90378; 1 drivers
+v0x55feb9624880_0 .net *"_ivl_238", 0 0, L_0x55feb9655cd0; 1 drivers
+v0x55feb9624960_0 .net *"_ivl_239", 0 0, L_0x55feb9655dc0; 1 drivers
+v0x55feb9624a40_0 .net/2u *"_ivl_241", 0 0, L_0x7fc0ebe903c0; 1 drivers
+v0x55feb9624b20_0 .net *"_ivl_244", 0 0, L_0x55feb9655e80; 1 drivers
+v0x55feb9624c00_0 .net *"_ivl_245", 0 0, L_0x55feb9656590; 1 drivers
+v0x55feb9624ce0_0 .net/2u *"_ivl_248", 0 0, L_0x7fc0ebe90408; 1 drivers
+v0x55feb9624dc0_0 .net *"_ivl_251", 0 0, L_0x55feb96567f0; 1 drivers
+v0x55feb9624ea0_0 .net *"_ivl_26", 0 0, L_0x55feb964c120; 1 drivers
+v0x55feb9624f80_0 .net *"_ivl_28", 0 0, L_0x55feb964c210; 1 drivers
+v0x55feb9625060_0 .net *"_ivl_29", 0 0, L_0x55feb964c2b0; 1 drivers
+v0x55feb9625140_0 .net *"_ivl_32", 0 0, L_0x55feb964c320; 1 drivers
+v0x55feb9625220_0 .net *"_ivl_34", 0 0, L_0x55feb964c4d0; 1 drivers
+v0x55feb9625300_0 .net *"_ivl_35", 0 0, L_0x55feb964c5c0; 1 drivers
+v0x55feb96253e0_0 .net *"_ivl_38", 0 0, L_0x55feb964c630; 1 drivers
+v0x55feb96254c0_0 .net *"_ivl_4", 0 0, L_0x55feb964b710; 1 drivers
+v0x55feb96255a0_0 .net *"_ivl_40", 0 0, L_0x55feb964c7a0; 1 drivers
+v0x55feb9625680_0 .net *"_ivl_41", 0 0, L_0x55feb964c460; 1 drivers
+v0x55feb9625760_0 .net *"_ivl_44", 0 0, L_0x55feb964c920; 1 drivers
+v0x55feb9625840_0 .net *"_ivl_46", 0 0, L_0x55feb964cae0; 1 drivers
+v0x55feb9625920_0 .net *"_ivl_47", 0 0, L_0x55feb964cdb0; 1 drivers
+v0x55feb9625a00_0 .net *"_ivl_51", 0 0, L_0x55feb964cec0; 1 drivers
+v0x55feb9625ae0_0 .net *"_ivl_53", 0 0, L_0x55feb964cfb0; 1 drivers
+v0x55feb9625bc0_0 .net *"_ivl_54", 0 0, L_0x55feb964d150; 1 drivers
+v0x55feb9625ca0_0 .net *"_ivl_57", 0 0, L_0x55feb964cd10; 1 drivers
+v0x55feb9625d80_0 .net *"_ivl_59", 0 0, L_0x55feb964d210; 1 drivers
+v0x55feb9625e60_0 .net *"_ivl_60", 0 0, L_0x55feb964d3c0; 1 drivers
+v0x55feb9625f40_0 .net *"_ivl_63", 0 0, L_0x55feb964d430; 1 drivers
+v0x55feb9626020_0 .net *"_ivl_65", 0 0, L_0x55feb964d4d0; 1 drivers
+v0x55feb9626100_0 .net *"_ivl_66", 0 0, L_0x55feb964d690; 1 drivers
+v0x55feb96261e0_0 .net *"_ivl_69", 0 0, L_0x55feb964d700; 1 drivers
+v0x55feb96262c0_0 .net *"_ivl_7", 0 0, L_0x55feb964b780; 1 drivers
+v0x55feb96263a0_0 .net *"_ivl_71", 0 0, L_0x55feb964d7a0; 1 drivers
+v0x55feb9626480_0 .net *"_ivl_72", 0 0, L_0x55feb964db00; 1 drivers
+v0x55feb9626560_0 .net *"_ivl_76", 0 0, L_0x55feb964dc30; 1 drivers
+v0x55feb9626640_0 .net *"_ivl_78", 0 0, L_0x55feb964de10; 1 drivers
+v0x55feb9626720_0 .net *"_ivl_79", 0 0, L_0x55feb964df00; 1 drivers
+v0x55feb9626800_0 .net *"_ivl_82", 0 0, L_0x55feb964df70; 1 drivers
+v0x55feb96268e0_0 .net *"_ivl_84", 0 0, L_0x55feb964e160; 1 drivers
+v0x55feb96269c0_0 .net *"_ivl_85", 0 0, L_0x55feb964e250; 1 drivers
+v0x55feb9626aa0_0 .net *"_ivl_88", 0 0, L_0x55feb964e340; 1 drivers
+v0x55feb9626b80_0 .net *"_ivl_9", 0 0, L_0x55feb964b870; 1 drivers
+v0x55feb9626c60_0 .net *"_ivl_90", 0 0, L_0x55feb964e540; 1 drivers
+v0x55feb9626d40_0 .net *"_ivl_91", 0 0, L_0x55feb964dbc0; 1 drivers
+v0x55feb9626e20_0 .net *"_ivl_94", 0 0, L_0x55feb964e630; 1 drivers
+v0x55feb9626f00_0 .net *"_ivl_96", 0 0, L_0x55feb964e7f0; 1 drivers
+v0x55feb9626fe0_0 .net *"_ivl_97", 0 0, L_0x55feb964e6d0; 1 drivers
+v0x55feb96270c0_0 .net "aUtemp1", 3 0, L_0x55feb964d970; 1 drivers
+v0x55feb96271a0_0 .net "aUtemp2", 3 0, L_0x55feb964e8e0; 1 drivers
+v0x55feb9627280_0 .net "add_Y", 3 0, L_0x55feb9637ea0; 1 drivers
+v0x55feb9627340_0 .net "lUOutput1", 3 0, L_0x55feb964bed0; 1 drivers
+v0x55feb9627c10_0 .net "lUOutput2", 3 0, L_0x55feb964cb80; 1 drivers
+v0x55feb9627cf0_0 .net "opCode8", 7 0, L_0x55feb9632790; 1 drivers
+v0x55feb9627de0_0 .net "opCodeA", 2 0, v0x55feb9628b10_0; 1 drivers
+v0x55feb9627eb0_0 .net "opwireM", 7 0, L_0x55feb964af40; 1 drivers
+v0x55feb9627f80_0 .net "overflow", 0 0, L_0x55feb9639700; alias, 1 drivers
+v0x55feb9628050_0 .net "resultA", 3 0, L_0x55feb963cc80; 1 drivers
+v0x55feb9628120_0 .net "resultO", 3 0, L_0x55feb963de00; 1 drivers
+v0x55feb96281f0_0 .net "resultX", 3 0, L_0x55feb963f010; 1 drivers
+v0x55feb96282c0_0 .net "sub_Y", 3 0, L_0x55feb9638c20; 1 drivers
+v0x55feb9628390_0 .net "wireLA", 3 0, L_0x55feb96539a0; 1 drivers
+v0x55feb9628430_0 .net "wireM", 7 0, L_0x55feb9650a30; 1 drivers
+v0x55feb9628510_0 .net "wireY", 3 0, L_0x55feb96520d0; 1 drivers
+L_0x55feb9639830 .part L_0x55feb9632790, 0, 2;
+L_0x55feb963f740 .part L_0x55feb9632790, 4, 3;
+L_0x55feb964b780 .part L_0x55feb963cc80, 0, 1;
+L_0x55feb964b870 .part L_0x55feb963de00, 0, 1;
+L_0x55feb964b9d0 .part L_0x55feb963cc80, 1, 1;
+L_0x55feb964bb50 .part L_0x55feb963de00, 1, 1;
+L_0x55feb964bcf0 .part L_0x55feb963cc80, 2, 1;
+L_0x55feb964bd90 .part L_0x55feb963de00, 2, 1;
+L_0x55feb964bed0 .concat8 [ 1 1 1 1], L_0x55feb964b710, L_0x55feb964b960, L_0x55feb964bc80, L_0x55feb964c060;
+L_0x55feb964c120 .part L_0x55feb963cc80, 3, 1;
+L_0x55feb964c210 .part L_0x55feb963de00, 3, 1;
+L_0x55feb964c320 .part L_0x55feb964bed0, 0, 1;
+L_0x55feb964c4d0 .part L_0x55feb963f010, 0, 1;
+L_0x55feb964c630 .part L_0x55feb964bed0, 1, 1;
+L_0x55feb964c7a0 .part L_0x55feb963f010, 1, 1;
+L_0x55feb964c920 .part L_0x55feb964bed0, 2, 1;
+L_0x55feb964cae0 .part L_0x55feb963f010, 2, 1;
+L_0x55feb964cb80 .concat8 [ 1 1 1 1], L_0x55feb964c2b0, L_0x55feb964c5c0, L_0x55feb964c460, L_0x55feb964cdb0;
+L_0x55feb964cec0 .part L_0x55feb964bed0, 3, 1;
+L_0x55feb964cfb0 .part L_0x55feb963f010, 3, 1;
+L_0x55feb964cd10 .part L_0x55feb9632790, 0, 1;
+L_0x55feb964d210 .part L_0x55feb9637ea0, 0, 1;
+L_0x55feb964d430 .part L_0x55feb9632790, 0, 1;
+L_0x55feb964d4d0 .part L_0x55feb9637ea0, 1, 1;
+L_0x55feb964d700 .part L_0x55feb9632790, 0, 1;
+L_0x55feb964d7a0 .part L_0x55feb9637ea0, 2, 1;
+L_0x55feb964d970 .concat8 [ 1 1 1 1], L_0x55feb964d150, L_0x55feb964d3c0, L_0x55feb964d690, L_0x55feb964db00;
+L_0x55feb964dc30 .part L_0x55feb9632790, 0, 1;
+L_0x55feb964de10 .part L_0x55feb9637ea0, 3, 1;
+L_0x55feb964df70 .part L_0x55feb9632790, 1, 1;
+L_0x55feb964e160 .part L_0x55feb9638c20, 0, 1;
+L_0x55feb964e340 .part L_0x55feb9632790, 1, 1;
+L_0x55feb964e540 .part L_0x55feb9638c20, 1, 1;
+L_0x55feb964e630 .part L_0x55feb9632790, 1, 1;
+L_0x55feb964e7f0 .part L_0x55feb9638c20, 2, 1;
+L_0x55feb964e8e0 .concat8 [ 1 1 1 1], L_0x55feb964df00, L_0x55feb964e250, L_0x55feb964dbc0, L_0x55feb964e6d0;
+L_0x55feb964ec30 .part L_0x55feb9632790, 1, 1;
+L_0x55feb964ecd0 .part L_0x55feb9638c20, 3, 1;
+L_0x55feb964ef70 .part L_0x55feb9632790, 2, 1;
+L_0x55feb964f060 .part L_0x55feb964af40, 0, 1;
+L_0x55feb964f3b0 .part L_0x55feb9632790, 2, 1;
+L_0x55feb964f4a0 .part L_0x55feb964af40, 1, 1;
+L_0x55feb964f760 .part L_0x55feb9632790, 2, 1;
+L_0x55feb964f800 .part L_0x55feb964af40, 2, 1;
+L_0x55feb964f310 .part L_0x55feb9632790, 2, 1;
+L_0x55feb964fbd0 .part L_0x55feb964af40, 3, 1;
+L_0x55feb964feb0 .part L_0x55feb9632790, 2, 1;
+L_0x55feb964ffa0 .part L_0x55feb964af40, 4, 1;
+L_0x55feb964fad0 .part L_0x55feb9632790, 2, 1;
+L_0x55feb96503a0 .part L_0x55feb964af40, 5, 1;
+L_0x55feb96506a0 .part L_0x55feb9632790, 2, 1;
+L_0x55feb9650790 .part L_0x55feb964af40, 6, 1;
+LS_0x55feb9650a30_0_0 .concat8 [ 1 1 1 1], L_0x55feb964ef00, L_0x55feb964f2a0, L_0x55feb964f6f0, L_0x55feb964fa60;
+LS_0x55feb9650a30_0_4 .concat8 [ 1 1 1 1], L_0x55feb964fe40, L_0x55feb9650220, L_0x55feb9650630, L_0x55feb9650d50;
+L_0x55feb9650a30 .concat8 [ 4 4 0 0], LS_0x55feb9650a30_0_0, LS_0x55feb9650a30_0_4;
+L_0x55feb9650ee0 .part L_0x55feb9632790, 2, 1;
+L_0x55feb9651190 .part L_0x55feb964af40, 7, 1;
+L_0x55feb96512f0 .part L_0x55feb964d970, 0, 1;
+L_0x55feb9651600 .part L_0x55feb964e8e0, 0, 1;
+L_0x55feb9651890 .part L_0x55feb964d970, 1, 1;
+L_0x55feb9651b60 .part L_0x55feb964e8e0, 1, 1;
+L_0x55feb9651cc0 .part L_0x55feb964d970, 2, 1;
+L_0x55feb9651fa0 .part L_0x55feb964e8e0, 2, 1;
+L_0x55feb96520d0 .concat8 [ 1 1 1 1], L_0x55feb9651280, L_0x55feb9651740, L_0x55feb9651c50, L_0x55feb9652410;
+L_0x55feb96525c0 .part L_0x55feb964d970, 3, 1;
+L_0x55feb96526b0 .part L_0x55feb964e8e0, 3, 1;
+L_0x55feb9652a20 .part L_0x55feb964cb80, 0, 1;
+L_0x55feb9652b60 .part L_0x55feb96520d0, 0, 1;
+L_0x55feb9653030 .part L_0x55feb964cb80, 1, 1;
+L_0x55feb9653120 .part L_0x55feb96520d0, 1, 1;
+L_0x55feb96534b0 .part L_0x55feb964cb80, 2, 1;
+L_0x55feb9653630 .part L_0x55feb96520d0, 2, 1;
+L_0x55feb96539a0 .concat8 [ 1 1 1 1], L_0x55feb96529b0, L_0x55feb9652ec0, L_0x55feb9653440, L_0x55feb9653ae0;
+L_0x55feb9653cb0 .part L_0x55feb964cb80, 3, 1;
+L_0x55feb9653ff0 .part L_0x55feb96520d0, 3, 1;
+L_0x55feb9654150 .part L_0x55feb96539a0, 0, 1;
+L_0x55feb96544f0 .part L_0x55feb9650a30, 0, 1;
+L_0x55feb96547c0 .part L_0x55feb96539a0, 1, 1;
+L_0x55feb9654b20 .part L_0x55feb9650a30, 1, 1;
+L_0x55feb9654c80 .part L_0x55feb96539a0, 2, 1;
+L_0x55feb9655080 .part L_0x55feb9650a30, 2, 1;
+L_0x55feb96546a0 .part L_0x55feb96539a0, 3, 1;
+L_0x55feb96555e0 .part L_0x55feb9650a30, 3, 1;
+L_0x55feb9655740 .part L_0x55feb9650a30, 4, 1;
+L_0x55feb9655cd0 .part L_0x55feb9650a30, 5, 1;
+L_0x55feb9655e80 .part L_0x55feb9650a30, 6, 1;
+LS_0x55feb9656220_0_0 .concat8 [ 1 1 1 1], L_0x55feb96540e0, L_0x55feb9654630, L_0x55feb9654c10, L_0x55feb96551b0;
+LS_0x55feb9656220_0_4 .concat8 [ 1 1 1 1], L_0x55feb9655680, L_0x55feb9655ad0, L_0x55feb9655dc0, L_0x55feb9656590;
+L_0x55feb9656220 .concat8 [ 4 4 0 0], LS_0x55feb9656220_0_0, LS_0x55feb9656220_0_4;
+L_0x55feb96567f0 .part L_0x55feb9650a30, 7, 1;
+S_0x55feb95e1b80 .scope module, "aU" "arithmeticUnit" 3 20, 4 1 0, S_0x55feb95e4330;
+ .timescale 0 0;
+ .port_info 0 /INPUT 2 "opCode";
+ .port_info 1 /INPUT 4 "A";
+ .port_info 2 /INPUT 4 "B";
+ .port_info 3 /INPUT 1 "CarryIN";
+ .port_info 4 /OUTPUT 4 "add_Y";
+ .port_info 5 /OUTPUT 4 "sub_Y";
+ .port_info 6 /OUTPUT 1 "CarryOUT";
+ .port_info 7 /OUTPUT 1 "overflow";
+L_0x55feb96377b0 .functor AND 1, L_0x55feb9637840, L_0x55feb96378e0, C4<1>, C4<1>;
+L_0x55feb9637980 .functor AND 1, L_0x55feb96379f0, L_0x55feb9637ae0, C4<1>, C4<1>;
+L_0x55feb9637c60 .functor AND 1, L_0x55feb9637cd0, L_0x55feb9637d70, C4<1>, C4<1>;
+L_0x55feb9638080 .functor AND 1, L_0x55feb9638140, L_0x55feb9638310, C4<1>, C4<1>;
+L_0x55feb96383b0 .functor AND 1, L_0x55feb9638420, L_0x55feb9638570, C4<1>, C4<1>;
+L_0x55feb9638610 .functor AND 1, L_0x55feb96386c0, L_0x55feb9638820, C4<1>, C4<1>;
+L_0x55feb96389a0 .functor AND 1, L_0x55feb9638a10, L_0x55feb9638b30, C4<1>, C4<1>;
+L_0x55feb96387b0 .functor AND 1, L_0x55feb9638f30, L_0x55feb9639130, C4<1>, C4<1>;
+L_0x55feb96392c0 .functor AND 1, L_0x55feb9637200, L_0x55feb9639330, C4<1>, C4<1>;
+L_0x55feb96393d0 .functor AND 1, L_0x55feb9634940, L_0x55feb96394a0, C4<1>, C4<1>;
+L_0x55feb96395f0 .functor OR 1, L_0x55feb96393d0, L_0x55feb96392c0, C4<0>, C4<0>;
+L_0x55feb9639700 .functor AND 1, L_0x55feb9639220, L_0x55feb9634f80, C4<1>, C4<1>;
+v0x55feb95ffc60_0 .net "A", 3 0, v0x55feb9628710_0; alias, 1 drivers
+v0x55feb95ffd90_0 .net "B", 3 0, v0x55feb96287f0_0; alias, 1 drivers
+v0x55feb95ffea0_0 .net "CarryIN", 0 0, v0x55feb96288b0_0; alias, 1 drivers
+v0x55feb95fff40_0 .net "CarryOUT", 0 0, L_0x55feb96395f0; alias, 1 drivers
+v0x55feb95fffe0_0 .net "CarryOUTADD", 0 0, L_0x55feb9634940; 1 drivers
+v0x55feb9600120_0 .net "CarryOUTSUB", 0 0, L_0x55feb9637200; 1 drivers
+v0x55feb9600210_0 .net *"_ivl_0", 0 0, L_0x55feb96377b0; 1 drivers
+v0x55feb96002f0_0 .net *"_ivl_11", 0 0, L_0x55feb9637ae0; 1 drivers
+v0x55feb96003d0_0 .net *"_ivl_12", 0 0, L_0x55feb9637c60; 1 drivers
+v0x55feb9600540_0 .net *"_ivl_15", 0 0, L_0x55feb9637cd0; 1 drivers
+v0x55feb9600620_0 .net *"_ivl_17", 0 0, L_0x55feb9637d70; 1 drivers
+v0x55feb9600700_0 .net *"_ivl_18", 0 0, L_0x55feb9638080; 1 drivers
+v0x55feb96007e0_0 .net *"_ivl_22", 0 0, L_0x55feb9638140; 1 drivers
+v0x55feb96008c0_0 .net *"_ivl_24", 0 0, L_0x55feb9638310; 1 drivers
+v0x55feb96009a0_0 .net *"_ivl_25", 0 0, L_0x55feb96383b0; 1 drivers
+v0x55feb9600a80_0 .net *"_ivl_28", 0 0, L_0x55feb9638420; 1 drivers
+v0x55feb9600b60_0 .net *"_ivl_3", 0 0, L_0x55feb9637840; 1 drivers
+v0x55feb9600c40_0 .net *"_ivl_30", 0 0, L_0x55feb9638570; 1 drivers
+v0x55feb9600d20_0 .net *"_ivl_31", 0 0, L_0x55feb9638610; 1 drivers
+v0x55feb9600e00_0 .net *"_ivl_34", 0 0, L_0x55feb96386c0; 1 drivers
+v0x55feb9600ee0_0 .net *"_ivl_36", 0 0, L_0x55feb9638820; 1 drivers
+v0x55feb9600fc0_0 .net *"_ivl_37", 0 0, L_0x55feb96389a0; 1 drivers
+v0x55feb96010a0_0 .net *"_ivl_40", 0 0, L_0x55feb9638a10; 1 drivers
+v0x55feb9601180_0 .net *"_ivl_42", 0 0, L_0x55feb9638b30; 1 drivers
+v0x55feb9601260_0 .net *"_ivl_43", 0 0, L_0x55feb96387b0; 1 drivers
+v0x55feb9601340_0 .net *"_ivl_47", 0 0, L_0x55feb9638f30; 1 drivers
+v0x55feb9601420_0 .net *"_ivl_49", 0 0, L_0x55feb9639130; 1 drivers
+v0x55feb9601500_0 .net *"_ivl_5", 0 0, L_0x55feb96378e0; 1 drivers
+v0x55feb96015e0_0 .net *"_ivl_51", 0 0, L_0x55feb9639330; 1 drivers
+v0x55feb96016c0_0 .net *"_ivl_53", 0 0, L_0x55feb96394a0; 1 drivers
+v0x55feb96017a0_0 .net *"_ivl_55", 0 0, L_0x55feb9639220; 1 drivers
+v0x55feb9601880_0 .net *"_ivl_6", 0 0, L_0x55feb9637980; 1 drivers
+v0x55feb9601960_0 .net *"_ivl_9", 0 0, L_0x55feb96379f0; 1 drivers
+v0x55feb9601c50_0 .net "addY", 3 0, L_0x55feb9634ee0; 1 drivers
+v0x55feb9601d10_0 .net "add_Y", 3 0, L_0x55feb9637ea0; alias, 1 drivers
+v0x55feb9601dd0_0 .net "opCode", 1 0, L_0x55feb9639830; 1 drivers
+v0x55feb9601eb0_0 .net "overflow", 0 0, L_0x55feb9639700; alias, 1 drivers
+v0x55feb9601f70_0 .net "subY", 3 0, L_0x55feb96376a0; 1 drivers
+v0x55feb9602030_0 .net "sub_Y", 3 0, L_0x55feb9638c20; alias, 1 drivers
+v0x55feb96020f0_0 .net "tempCAdd", 0 0, L_0x55feb96393d0; 1 drivers
+v0x55feb96021b0_0 .net "tempCSub", 0 0, L_0x55feb96392c0; 1 drivers
+v0x55feb9602270_0 .net "tempoverflow", 0 0, L_0x55feb9634f80; 1 drivers
+L_0x55feb9637840 .part L_0x55feb9639830, 0, 1;
+L_0x55feb96378e0 .part L_0x55feb9634ee0, 0, 1;
+L_0x55feb96379f0 .part L_0x55feb9639830, 0, 1;
+L_0x55feb9637ae0 .part L_0x55feb9634ee0, 1, 1;
+L_0x55feb9637cd0 .part L_0x55feb9639830, 0, 1;
+L_0x55feb9637d70 .part L_0x55feb9634ee0, 2, 1;
+L_0x55feb9637ea0 .concat8 [ 1 1 1 1], L_0x55feb96377b0, L_0x55feb9637980, L_0x55feb9637c60, L_0x55feb9638080;
+L_0x55feb9638140 .part L_0x55feb9639830, 0, 1;
+L_0x55feb9638310 .part L_0x55feb9634ee0, 3, 1;
+L_0x55feb9638420 .part L_0x55feb9639830, 1, 1;
+L_0x55feb9638570 .part L_0x55feb96376a0, 0, 1;
+L_0x55feb96386c0 .part L_0x55feb9639830, 1, 1;
+L_0x55feb9638820 .part L_0x55feb96376a0, 1, 1;
+L_0x55feb9638a10 .part L_0x55feb9639830, 1, 1;
+L_0x55feb9638b30 .part L_0x55feb96376a0, 2, 1;
+L_0x55feb9638c20 .concat8 [ 1 1 1 1], L_0x55feb96383b0, L_0x55feb9638610, L_0x55feb96389a0, L_0x55feb96387b0;
+L_0x55feb9638f30 .part L_0x55feb9639830, 1, 1;
+L_0x55feb9639130 .part L_0x55feb96376a0, 3, 1;
+L_0x55feb9639330 .part L_0x55feb9639830, 1, 1;
+L_0x55feb96394a0 .part L_0x55feb9639830, 0, 1;
+L_0x55feb9639220 .part L_0x55feb9639830, 0, 1;
+S_0x55feb95df3d0 .scope module, "a1" "addition" 4 13, 5 1 0, S_0x55feb95e1b80;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x55feb9634f80 .functor XOR 1, L_0x55feb96350a0, L_0x55feb9634940, C4<0>, C4<0>;
+v0x55feb95f9050_0 .net "A", 3 0, v0x55feb9628710_0; alias, 1 drivers
+v0x55feb95f9130_0 .net "B", 3 0, v0x55feb96287f0_0; alias, 1 drivers
+v0x55feb95f9210_0 .net "Carry4", 2 0, L_0x55feb96343b0; 1 drivers
+v0x55feb95f92d0_0 .net "CarryIN", 0 0, v0x55feb96288b0_0; alias, 1 drivers
+v0x55feb95f93c0_0 .net "CarryOUT", 0 0, L_0x55feb9634940; alias, 1 drivers
+v0x55feb95f94b0_0 .net "Y", 3 0, L_0x55feb9634ee0; alias, 1 drivers
+v0x55feb95f9570_0 .net *"_ivl_39", 0 0, L_0x55feb96350a0; 1 drivers
+v0x55feb95f9650_0 .net "overflow", 0 0, L_0x55feb9634f80; alias, 1 drivers
+L_0x55feb96330b0 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb96331e0 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb9633700 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb9633940 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb9633af0 .part L_0x55feb96343b0, 0, 1;
+L_0x55feb9633f90 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb9634100 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb9634230 .part L_0x55feb96343b0, 1, 1;
+L_0x55feb96343b0 .concat8 [ 1 1 1 0], L_0x55feb9633040, L_0x55feb9633670, L_0x55feb9633f00;
+L_0x55feb96349f0 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb9634b80 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb9634cb0 .part L_0x55feb96343b0, 2, 1;
+L_0x55feb9634ee0 .concat8 [ 1 1 1 1], L_0x55feb9632fd0, L_0x55feb9633590, L_0x55feb9633e20, L_0x55feb9634860;
+L_0x55feb96350a0 .part L_0x55feb96343b0, 2, 1;
+S_0x55feb95ee2b0 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55feb95df3d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9633040 .functor OR 1, L_0x55feb9632cb0, L_0x55feb9632e80, C4<0>, C4<0>;
+v0x55feb95f4d00_0 .net "A", 0 0, L_0x55feb96330b0; 1 drivers
+v0x55feb95f4dc0_0 .net "B", 0 0, L_0x55feb96331e0; 1 drivers
+v0x55feb95f4e90_0 .net "Carry", 0 0, v0x55feb96288b0_0; alias, 1 drivers
+v0x55feb95f4f90_0 .net "CarryO", 0 0, L_0x55feb9633040; 1 drivers
+v0x55feb95f5030_0 .net "Sum", 0 0, L_0x55feb9632fd0; 1 drivers
+v0x55feb95f5120_0 .net "and1", 0 0, L_0x55feb9632cb0; 1 drivers
+v0x55feb95f51f0_0 .net "and2", 0 0, L_0x55feb9632e80; 1 drivers
+v0x55feb95f52c0_0 .net "xor1", 0 0, L_0x55feb9632e10; 1 drivers
+S_0x55feb95eb8b0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb95ee2b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9632cb0 .functor AND 1, L_0x55feb96330b0, L_0x55feb96331e0, C4<1>, C4<1>;
+L_0x55feb9632e10 .functor XOR 1, L_0x55feb96330b0, L_0x55feb96331e0, C4<0>, C4<0>;
+v0x55feb957a8c0_0 .net "A", 0 0, L_0x55feb96330b0; alias, 1 drivers
+v0x55feb9578bb0_0 .net "B", 0 0, L_0x55feb96331e0; alias, 1 drivers
+v0x55feb95eec60_0 .net "Carry", 0 0, L_0x55feb9632cb0; alias, 1 drivers
+v0x55feb95eef70_0 .net "Sum", 0 0, L_0x55feb9632e10; alias, 1 drivers
+S_0x55feb95f4840 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb95ee2b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9632e80 .functor AND 1, L_0x55feb9632e10, v0x55feb96288b0_0, C4<1>, C4<1>;
+L_0x55feb9632fd0 .functor XOR 1, L_0x55feb9632e10, v0x55feb96288b0_0, C4<0>, C4<0>;
+v0x55feb95ef220_0 .net "A", 0 0, L_0x55feb9632e10; alias, 1 drivers
+v0x55feb95f4a20_0 .net "B", 0 0, v0x55feb96288b0_0; alias, 1 drivers
+v0x55feb95f4ac0_0 .net "Carry", 0 0, L_0x55feb9632e80; alias, 1 drivers
+v0x55feb95f4b90_0 .net "Sum", 0 0, L_0x55feb9632fd0; alias, 1 drivers
+S_0x55feb95f53b0 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55feb95df3d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9633670 .functor OR 1, L_0x55feb9633310, L_0x55feb9633440, C4<0>, C4<0>;
+v0x55feb95f6130_0 .net "A", 0 0, L_0x55feb9633700; 1 drivers
+v0x55feb95f61f0_0 .net "B", 0 0, L_0x55feb9633940; 1 drivers
+v0x55feb95f62c0_0 .net "Carry", 0 0, L_0x55feb9633af0; 1 drivers
+v0x55feb95f63c0_0 .net "CarryO", 0 0, L_0x55feb9633670; 1 drivers
+v0x55feb95f6460_0 .net "Sum", 0 0, L_0x55feb9633590; 1 drivers
+v0x55feb95f6550_0 .net "and1", 0 0, L_0x55feb9633310; 1 drivers
+v0x55feb95f6620_0 .net "and2", 0 0, L_0x55feb9633440; 1 drivers
+v0x55feb95f66f0_0 .net "xor1", 0 0, L_0x55feb96333d0; 1 drivers
+S_0x55feb95f5590 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb95f53b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9633310 .functor AND 1, L_0x55feb9633700, L_0x55feb9633940, C4<1>, C4<1>;
+L_0x55feb96333d0 .functor XOR 1, L_0x55feb9633700, L_0x55feb9633940, C4<0>, C4<0>;
+v0x55feb95f57a0_0 .net "A", 0 0, L_0x55feb9633700; alias, 1 drivers
+v0x55feb95f5880_0 .net "B", 0 0, L_0x55feb9633940; alias, 1 drivers
+v0x55feb95f5940_0 .net "Carry", 0 0, L_0x55feb9633310; alias, 1 drivers
+v0x55feb95f5a10_0 .net "Sum", 0 0, L_0x55feb96333d0; alias, 1 drivers
+S_0x55feb95f5b80 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb95f53b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9633440 .functor AND 1, L_0x55feb96333d0, L_0x55feb9633af0, C4<1>, C4<1>;
+L_0x55feb9633590 .functor XOR 1, L_0x55feb96333d0, L_0x55feb9633af0, C4<0>, C4<0>;
+v0x55feb95f5d80_0 .net "A", 0 0, L_0x55feb96333d0; alias, 1 drivers
+v0x55feb95f5e50_0 .net "B", 0 0, L_0x55feb9633af0; alias, 1 drivers
+v0x55feb95f5ef0_0 .net "Carry", 0 0, L_0x55feb9633440; alias, 1 drivers
+v0x55feb95f5fc0_0 .net "Sum", 0 0, L_0x55feb9633590; alias, 1 drivers
+S_0x55feb95f67e0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55feb95df3d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9633f00 .functor OR 1, L_0x55feb9633b90, L_0x55feb9633c90, C4<0>, C4<0>;
+v0x55feb95f7570_0 .net "A", 0 0, L_0x55feb9633f90; 1 drivers
+v0x55feb95f7630_0 .net "B", 0 0, L_0x55feb9634100; 1 drivers
+v0x55feb95f7700_0 .net "Carry", 0 0, L_0x55feb9634230; 1 drivers
+v0x55feb95f7800_0 .net "CarryO", 0 0, L_0x55feb9633f00; 1 drivers
+v0x55feb95f78a0_0 .net "Sum", 0 0, L_0x55feb9633e20; 1 drivers
+v0x55feb95f7990_0 .net "and1", 0 0, L_0x55feb9633b90; 1 drivers
+v0x55feb95f7a60_0 .net "and2", 0 0, L_0x55feb9633c90; 1 drivers
+v0x55feb95f7b30_0 .net "xor1", 0 0, L_0x55feb9633c00; 1 drivers
+S_0x55feb95f69f0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb95f67e0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9633b90 .functor AND 1, L_0x55feb9633f90, L_0x55feb9634100, C4<1>, C4<1>;
+L_0x55feb9633c00 .functor XOR 1, L_0x55feb9633f90, L_0x55feb9634100, C4<0>, C4<0>;
+v0x55feb95f6c00_0 .net "A", 0 0, L_0x55feb9633f90; alias, 1 drivers
+v0x55feb95f6cc0_0 .net "B", 0 0, L_0x55feb9634100; alias, 1 drivers
+v0x55feb95f6d80_0 .net "Carry", 0 0, L_0x55feb9633b90; alias, 1 drivers
+v0x55feb95f6e50_0 .net "Sum", 0 0, L_0x55feb9633c00; alias, 1 drivers
+S_0x55feb95f6fc0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb95f67e0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9633c90 .functor AND 1, L_0x55feb9633c00, L_0x55feb9634230, C4<1>, C4<1>;
+L_0x55feb9633e20 .functor XOR 1, L_0x55feb9633c00, L_0x55feb9634230, C4<0>, C4<0>;
+v0x55feb95f71c0_0 .net "A", 0 0, L_0x55feb9633c00; alias, 1 drivers
+v0x55feb95f7290_0 .net "B", 0 0, L_0x55feb9634230; alias, 1 drivers
+v0x55feb95f7330_0 .net "Carry", 0 0, L_0x55feb9633c90; alias, 1 drivers
+v0x55feb95f7400_0 .net "Sum", 0 0, L_0x55feb9633e20; alias, 1 drivers
+S_0x55feb95f7c20 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55feb95df3d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9634940 .functor OR 1, L_0x55feb96344a0, L_0x55feb96346d0, C4<0>, C4<0>;
+v0x55feb95f89a0_0 .net "A", 0 0, L_0x55feb96349f0; 1 drivers
+v0x55feb95f8a60_0 .net "B", 0 0, L_0x55feb9634b80; 1 drivers
+v0x55feb95f8b30_0 .net "Carry", 0 0, L_0x55feb9634cb0; 1 drivers
+v0x55feb95f8c30_0 .net "CarryO", 0 0, L_0x55feb9634940; alias, 1 drivers
+v0x55feb95f8cd0_0 .net "Sum", 0 0, L_0x55feb9634860; 1 drivers
+v0x55feb95f8dc0_0 .net "and1", 0 0, L_0x55feb96344a0; 1 drivers
+v0x55feb95f8e90_0 .net "and2", 0 0, L_0x55feb96346d0; 1 drivers
+v0x55feb95f8f60_0 .net "xor1", 0 0, L_0x55feb9634640; 1 drivers
+S_0x55feb95f7e00 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb95f7c20;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96344a0 .functor AND 1, L_0x55feb96349f0, L_0x55feb9634b80, C4<1>, C4<1>;
+L_0x55feb9634640 .functor XOR 1, L_0x55feb96349f0, L_0x55feb9634b80, C4<0>, C4<0>;
+v0x55feb95f8010_0 .net "A", 0 0, L_0x55feb96349f0; alias, 1 drivers
+v0x55feb95f80f0_0 .net "B", 0 0, L_0x55feb9634b80; alias, 1 drivers
+v0x55feb95f81b0_0 .net "Carry", 0 0, L_0x55feb96344a0; alias, 1 drivers
+v0x55feb95f8280_0 .net "Sum", 0 0, L_0x55feb9634640; alias, 1 drivers
+S_0x55feb95f83f0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb95f7c20;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96346d0 .functor AND 1, L_0x55feb9634640, L_0x55feb9634cb0, C4<1>, C4<1>;
+L_0x55feb9634860 .functor XOR 1, L_0x55feb9634640, L_0x55feb9634cb0, C4<0>, C4<0>;
+v0x55feb95f85f0_0 .net "A", 0 0, L_0x55feb9634640; alias, 1 drivers
+v0x55feb95f86c0_0 .net "B", 0 0, L_0x55feb9634cb0; alias, 1 drivers
+v0x55feb95f8760_0 .net "Carry", 0 0, L_0x55feb96346d0; alias, 1 drivers
+v0x55feb95f8830_0 .net "Sum", 0 0, L_0x55feb9634860; alias, 1 drivers
+S_0x55feb95f97d0 .scope module, "s1" "subtraction" 4 14, 8 1 0, S_0x55feb95e1b80;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "BorrowOUT";
+v0x55feb95ff600_0 .net "A", 3 0, v0x55feb9628710_0; alias, 1 drivers
+v0x55feb95ff6c0_0 .net "B", 3 0, v0x55feb96287f0_0; alias, 1 drivers
+v0x55feb95ff790_0 .net "BorrowIN", 0 0, v0x55feb96288b0_0; alias, 1 drivers
+v0x55feb95ff860_0 .net "BorrowOUT", 0 0, L_0x55feb9637200; alias, 1 drivers
+v0x55feb95ff930_0 .net "Y", 3 0, L_0x55feb96376a0; alias, 1 drivers
+o0x7fc0ebedb358 .functor BUFZ 1, C4; HiZ drive
+; Elide local net with no drivers, v0x55feb95ffa20_0 name=_ivl_39
+v0x55feb95ffae0_0 .net "tempB", 3 0, L_0x55feb965ce70; 1 drivers
+L_0x55feb96356c0 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb9635810 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb9635f50 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb9636080 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb96361b0 .part L_0x55feb965ce70, 0, 1;
+L_0x55feb9636860 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb96369d0 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb9636b00 .part L_0x55feb965ce70, 1, 1;
+L_0x55feb96372b0 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb96373e0 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb9637570 .part L_0x55feb965ce70, 2, 1;
+L_0x55feb96376a0 .concat8 [ 1 1 1 1], L_0x55feb9635350, L_0x55feb9635b90, L_0x55feb96364a0, L_0x55feb9636ed0;
+L_0x55feb965ce70 .concat [ 1 1 1 1], L_0x55feb9635630, L_0x55feb9635ec0, L_0x55feb96367d0, o0x7fc0ebedb358;
+S_0x55feb95f99d0 .scope module, "f0" "fullsubtraction" 8 11, 9 1 0, S_0x55feb95f97d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x55feb9635630 .functor OR 1, L_0x55feb9635290, L_0x55feb9635570, C4<0>, C4<0>;
+v0x55feb95faa20_0 .net "A", 0 0, L_0x55feb96356c0; 1 drivers
+v0x55feb95faae0_0 .net "B", 0 0, L_0x55feb9635810; 1 drivers
+v0x55feb95fabb0_0 .net "BorrowIN", 0 0, v0x55feb96288b0_0; alias, 1 drivers
+v0x55feb95fad10_0 .net "BorrowOut", 0 0, L_0x55feb9635630; 1 drivers
+v0x55feb95fadb0_0 .net "Difference", 0 0, L_0x55feb9635350; 1 drivers
+v0x55feb95fae50_0 .net "tempB1", 0 0, L_0x55feb9635290; 1 drivers
+v0x55feb95faf20_0 .net "tempB2", 0 0, L_0x55feb9635570; 1 drivers
+v0x55feb95faff0_0 .net "tempD", 0 0, L_0x55feb9634e70; 1 drivers
+S_0x55feb95f9be0 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55feb95f99d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb9634e70 .functor XOR 1, L_0x55feb96356c0, L_0x55feb9635810, C4<0>, C4<0>;
+L_0x55feb9635200 .functor NOT 1, L_0x55feb96356c0, C4<0>, C4<0>, C4<0>;
+L_0x55feb9635290 .functor AND 1, L_0x55feb9635200, L_0x55feb9635810, C4<1>, C4<1>;
+v0x55feb95f9e80_0 .net "A", 0 0, L_0x55feb96356c0; alias, 1 drivers
+v0x55feb95f9f60_0 .net "B", 0 0, L_0x55feb9635810; alias, 1 drivers
+v0x55feb95fa020_0 .net "Borrow", 0 0, L_0x55feb9635290; alias, 1 drivers
+v0x55feb95fa0f0_0 .net "Difference", 0 0, L_0x55feb9634e70; alias, 1 drivers
+v0x55feb95fa1b0_0 .net "notA", 0 0, L_0x55feb9635200; 1 drivers
+S_0x55feb95fa340 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55feb95f99d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb9635350 .functor XOR 1, L_0x55feb9634e70, v0x55feb96288b0_0, C4<0>, C4<0>;
+L_0x55feb96354e0 .functor NOT 1, L_0x55feb9634e70, C4<0>, C4<0>, C4<0>;
+L_0x55feb9635570 .functor AND 1, L_0x55feb96354e0, v0x55feb96288b0_0, C4<1>, C4<1>;
+v0x55feb95fa5b0_0 .net "A", 0 0, L_0x55feb9634e70; alias, 1 drivers
+v0x55feb95fa680_0 .net "B", 0 0, v0x55feb96288b0_0; alias, 1 drivers
+v0x55feb95fa720_0 .net "Borrow", 0 0, L_0x55feb9635570; alias, 1 drivers
+v0x55feb95fa7f0_0 .net "Difference", 0 0, L_0x55feb9635350; alias, 1 drivers
+v0x55feb95fa890_0 .net "notA", 0 0, L_0x55feb96354e0; 1 drivers
+S_0x55feb95fb0e0 .scope module, "f1" "fullsubtraction" 8 12, 9 1 0, S_0x55feb95f97d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x55feb9635ec0 .functor OR 1, L_0x55feb9635a80, L_0x55feb9635db0, C4<0>, C4<0>;
+v0x55feb95fc120_0 .net "A", 0 0, L_0x55feb9635f50; 1 drivers
+v0x55feb95fc1e0_0 .net "B", 0 0, L_0x55feb9636080; 1 drivers
+v0x55feb95fc2b0_0 .net "BorrowIN", 0 0, L_0x55feb96361b0; 1 drivers
+v0x55feb95fc3b0_0 .net "BorrowOut", 0 0, L_0x55feb9635ec0; 1 drivers
+v0x55feb95fc450_0 .net "Difference", 0 0, L_0x55feb9635b90; 1 drivers
+v0x55feb95fc540_0 .net "tempB1", 0 0, L_0x55feb9635a80; 1 drivers
+v0x55feb95fc610_0 .net "tempB2", 0 0, L_0x55feb9635db0; 1 drivers
+v0x55feb95fc6e0_0 .net "tempD", 0 0, L_0x55feb9635940; 1 drivers
+S_0x55feb95fb2e0 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55feb95fb0e0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb9635940 .functor XOR 1, L_0x55feb9635f50, L_0x55feb9636080, C4<0>, C4<0>;
+L_0x55feb96359f0 .functor NOT 1, L_0x55feb9635f50, C4<0>, C4<0>, C4<0>;
+L_0x55feb9635a80 .functor AND 1, L_0x55feb96359f0, L_0x55feb9636080, C4<1>, C4<1>;
+v0x55feb95fb560_0 .net "A", 0 0, L_0x55feb9635f50; alias, 1 drivers
+v0x55feb95fb640_0 .net "B", 0 0, L_0x55feb9636080; alias, 1 drivers
+v0x55feb95fb700_0 .net "Borrow", 0 0, L_0x55feb9635a80; alias, 1 drivers
+v0x55feb95fb7d0_0 .net "Difference", 0 0, L_0x55feb9635940; alias, 1 drivers
+v0x55feb95fb890_0 .net "notA", 0 0, L_0x55feb96359f0; 1 drivers
+S_0x55feb95fba20 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55feb95fb0e0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb9635b90 .functor XOR 1, L_0x55feb9635940, L_0x55feb96361b0, C4<0>, C4<0>;
+L_0x55feb9635d20 .functor NOT 1, L_0x55feb9635940, C4<0>, C4<0>, C4<0>;
+L_0x55feb9635db0 .functor AND 1, L_0x55feb9635d20, L_0x55feb96361b0, C4<1>, C4<1>;
+v0x55feb95fbc90_0 .net "A", 0 0, L_0x55feb9635940; alias, 1 drivers
+v0x55feb95fbd60_0 .net "B", 0 0, L_0x55feb96361b0; alias, 1 drivers
+v0x55feb95fbe00_0 .net "Borrow", 0 0, L_0x55feb9635db0; alias, 1 drivers
+v0x55feb95fbed0_0 .net "Difference", 0 0, L_0x55feb9635b90; alias, 1 drivers
+v0x55feb95fbf90_0 .net "notA", 0 0, L_0x55feb9635d20; 1 drivers
+S_0x55feb95fc7d0 .scope module, "f2" "fullsubtraction" 8 13, 9 1 0, S_0x55feb95f97d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x55feb96367d0 .functor OR 1, L_0x55feb96363e0, L_0x55feb96366c0, C4<0>, C4<0>;
+v0x55feb95fd800_0 .net "A", 0 0, L_0x55feb9636860; 1 drivers
+v0x55feb95fd8c0_0 .net "B", 0 0, L_0x55feb96369d0; 1 drivers
+v0x55feb95fd990_0 .net "BorrowIN", 0 0, L_0x55feb9636b00; 1 drivers
+v0x55feb95fda90_0 .net "BorrowOut", 0 0, L_0x55feb96367d0; 1 drivers
+v0x55feb95fdb30_0 .net "Difference", 0 0, L_0x55feb96364a0; 1 drivers
+v0x55feb95fdc20_0 .net "tempB1", 0 0, L_0x55feb96363e0; 1 drivers
+v0x55feb95fdcf0_0 .net "tempB2", 0 0, L_0x55feb96366c0; 1 drivers
+v0x55feb95fddc0_0 .net "tempD", 0 0, L_0x55feb96362e0; 1 drivers
+S_0x55feb95fc9e0 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55feb95fc7d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb96362e0 .functor XOR 1, L_0x55feb9636860, L_0x55feb96369d0, C4<0>, C4<0>;
+L_0x55feb9636350 .functor NOT 1, L_0x55feb9636860, C4<0>, C4<0>, C4<0>;
+L_0x55feb96363e0 .functor AND 1, L_0x55feb9636350, L_0x55feb96369d0, C4<1>, C4<1>;
+v0x55feb95fcc60_0 .net "A", 0 0, L_0x55feb9636860; alias, 1 drivers
+v0x55feb95fcd20_0 .net "B", 0 0, L_0x55feb96369d0; alias, 1 drivers
+v0x55feb95fcde0_0 .net "Borrow", 0 0, L_0x55feb96363e0; alias, 1 drivers
+v0x55feb95fceb0_0 .net "Difference", 0 0, L_0x55feb96362e0; alias, 1 drivers
+v0x55feb95fcf70_0 .net "notA", 0 0, L_0x55feb9636350; 1 drivers
+S_0x55feb95fd100 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55feb95fc7d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb96364a0 .functor XOR 1, L_0x55feb96362e0, L_0x55feb9636b00, C4<0>, C4<0>;
+L_0x55feb9636630 .functor NOT 1, L_0x55feb96362e0, C4<0>, C4<0>, C4<0>;
+L_0x55feb96366c0 .functor AND 1, L_0x55feb9636630, L_0x55feb9636b00, C4<1>, C4<1>;
+v0x55feb95fd370_0 .net "A", 0 0, L_0x55feb96362e0; alias, 1 drivers
+v0x55feb95fd440_0 .net "B", 0 0, L_0x55feb9636b00; alias, 1 drivers
+v0x55feb95fd4e0_0 .net "Borrow", 0 0, L_0x55feb96366c0; alias, 1 drivers
+v0x55feb95fd5b0_0 .net "Difference", 0 0, L_0x55feb96364a0; alias, 1 drivers
+v0x55feb95fd670_0 .net "notA", 0 0, L_0x55feb9636630; 1 drivers
+S_0x55feb95fdeb0 .scope module, "f3" "fullsubtraction" 8 14, 9 1 0, S_0x55feb95f97d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x55feb9637200 .functor OR 1, L_0x55feb9636dc0, L_0x55feb96370f0, C4<0>, C4<0>;
+v0x55feb95fef50_0 .net "A", 0 0, L_0x55feb96372b0; 1 drivers
+v0x55feb95ff010_0 .net "B", 0 0, L_0x55feb96373e0; 1 drivers
+v0x55feb95ff0e0_0 .net "BorrowIN", 0 0, L_0x55feb9637570; 1 drivers
+v0x55feb95ff1e0_0 .net "BorrowOut", 0 0, L_0x55feb9637200; alias, 1 drivers
+v0x55feb95ff280_0 .net "Difference", 0 0, L_0x55feb9636ed0; 1 drivers
+v0x55feb95ff370_0 .net "tempB1", 0 0, L_0x55feb9636dc0; 1 drivers
+v0x55feb95ff440_0 .net "tempB2", 0 0, L_0x55feb96370f0; 1 drivers
+v0x55feb95ff510_0 .net "tempD", 0 0, L_0x55feb9636c80; 1 drivers
+S_0x55feb95fe110 .scope module, "hf1" "halfsubtraction" 9 8, 10 1 0, S_0x55feb95fdeb0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb9636c80 .functor XOR 1, L_0x55feb96372b0, L_0x55feb96373e0, C4<0>, C4<0>;
+L_0x55feb9636d30 .functor NOT 1, L_0x55feb96372b0, C4<0>, C4<0>, C4<0>;
+L_0x55feb9636dc0 .functor AND 1, L_0x55feb9636d30, L_0x55feb96373e0, C4<1>, C4<1>;
+v0x55feb95fe390_0 .net "A", 0 0, L_0x55feb96372b0; alias, 1 drivers
+v0x55feb95fe470_0 .net "B", 0 0, L_0x55feb96373e0; alias, 1 drivers
+v0x55feb95fe530_0 .net "Borrow", 0 0, L_0x55feb9636dc0; alias, 1 drivers
+v0x55feb95fe600_0 .net "Difference", 0 0, L_0x55feb9636c80; alias, 1 drivers
+v0x55feb95fe6c0_0 .net "notA", 0 0, L_0x55feb9636d30; 1 drivers
+S_0x55feb95fe850 .scope module, "hf2" "halfsubtraction" 9 9, 10 1 0, S_0x55feb95fdeb0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x55feb9636ed0 .functor XOR 1, L_0x55feb9636c80, L_0x55feb9637570, C4<0>, C4<0>;
+L_0x55feb9637060 .functor NOT 1, L_0x55feb9636c80, C4<0>, C4<0>, C4<0>;
+L_0x55feb96370f0 .functor AND 1, L_0x55feb9637060, L_0x55feb9637570, C4<1>, C4<1>;
+v0x55feb95feac0_0 .net "A", 0 0, L_0x55feb9636c80; alias, 1 drivers
+v0x55feb95feb90_0 .net "B", 0 0, L_0x55feb9637570; alias, 1 drivers
+v0x55feb95fec30_0 .net "Borrow", 0 0, L_0x55feb96370f0; alias, 1 drivers
+v0x55feb95fed00_0 .net "Difference", 0 0, L_0x55feb9636ed0; alias, 1 drivers
+v0x55feb95fedc0_0 .net "notA", 0 0, L_0x55feb9637060; 1 drivers
+S_0x55feb96023f0 .scope module, "lU" "logicUnit" 3 21, 11 1 0, S_0x55feb95e4330;
+ .timescale 0 0;
+ .port_info 0 /INPUT 3 "opCode";
+ .port_info 1 /INPUT 4 "A";
+ .port_info 2 /INPUT 4 "B";
+ .port_info 3 /OUTPUT 4 "resultA";
+ .port_info 4 /OUTPUT 4 "resultO";
+ .port_info 5 /OUTPUT 4 "resultX";
+L_0x55feb96398d0 .functor AND 1, L_0x55feb9639940, L_0x55feb9639a30, C4<1>, C4<1>;
+L_0x55feb9639b20 .functor AND 1, L_0x55feb9639b90, L_0x55feb9639c80, C4<1>, C4<1>;
+L_0x55feb9639f80 .functor AND 1, L_0x55feb9639ff0, L_0x55feb963a0e0, C4<1>, C4<1>;
+L_0x55feb963a3a0 .functor AND 1, L_0x55feb963a460, L_0x55feb963a5a0, C4<1>, C4<1>;
+L_0x55feb963a690 .functor OR 1, L_0x55feb963a700, L_0x55feb963a850, C4<0>, C4<0>;
+L_0x55feb963a8f0 .functor OR 1, L_0x55feb963a960, L_0x55feb963aac0, C4<0>, C4<0>;
+L_0x55feb963abb0 .functor OR 1, L_0x55feb963ac20, L_0x55feb963ad90, C4<0>, C4<0>;
+L_0x55feb963aa50 .functor OR 1, L_0x55feb963b140, L_0x55feb963b230, C4<0>, C4<0>;
+L_0x55feb963b3c0 .functor XOR 1, L_0x55feb963b430, L_0x55feb963b520, C4<0>, C4<0>;
+L_0x55feb963b6c0 .functor XOR 1, L_0x55feb963b320, L_0x55feb963b790, C4<0>, C4<0>;
+L_0x55feb963b940 .functor XOR 1, L_0x55feb963b9b0, L_0x55feb963baa0, C4<0>, C4<0>;
+L_0x55feb963bdf0 .functor XOR 1, L_0x55feb963bf20, L_0x55feb963c0f0, C4<0>, C4<0>;
+L_0x55feb963c1e0 .functor AND 1, L_0x55feb963c250, L_0x55feb963c430, C4<1>, C4<1>;
+L_0x55feb963c570 .functor AND 1, L_0x55feb963c660, L_0x55feb963c8a0, C4<1>, C4<1>;
+L_0x55feb963beb0 .functor AND 1, L_0x55feb963c990, L_0x55feb963cb90, C4<1>, C4<1>;
+L_0x55feb963cf30 .functor AND 1, L_0x55feb963d080, L_0x55feb963d170, C4<1>, C4<1>;
+L_0x55feb963ce10 .functor AND 1, L_0x55feb963ce80, L_0x55feb963d390, C4<1>, C4<1>;
+L_0x55feb963d610 .functor AND 1, L_0x55feb963d720, L_0x55feb963d810, C4<1>, C4<1>;
+L_0x55feb963da50 .functor AND 1, L_0x55feb963dac0, L_0x55feb963dbb0, C4<1>, C4<1>;
+L_0x55feb963df90 .functor AND 1, L_0x55feb963d680, L_0x55feb963e2c0, C4<1>, C4<1>;
+L_0x55feb963e3b0 .functor AND 1, L_0x55feb963e420, L_0x55feb963e690, C4<1>, C4<1>;
+L_0x55feb963e7d0 .functor AND 1, L_0x55feb963e900, L_0x55feb963eb80, C4<1>, C4<1>;
+L_0x55feb963ec70 .functor AND 1, L_0x55feb963ece0, L_0x55feb963ef70, C4<1>, C4<1>;
+L_0x55feb963f260 .functor AND 1, L_0x55feb963f3a0, L_0x55feb963f490, C4<1>, C4<1>;
+v0x55feb9602640_0 .net "A", 3 0, v0x55feb9628710_0; alias, 1 drivers
+v0x55feb9602700_0 .net "B", 3 0, v0x55feb96287f0_0; alias, 1 drivers
+v0x55feb96027c0_0 .net *"_ivl_0", 0 0, L_0x55feb96398d0; 1 drivers
+v0x55feb9602880_0 .net *"_ivl_100", 0 0, L_0x55feb963ce10; 1 drivers
+v0x55feb9602960_0 .net *"_ivl_103", 0 0, L_0x55feb963ce80; 1 drivers
+v0x55feb9602a90_0 .net *"_ivl_105", 0 0, L_0x55feb963d390; 1 drivers
+v0x55feb9602b70_0 .net *"_ivl_106", 0 0, L_0x55feb963d610; 1 drivers
+v0x55feb9602c50_0 .net *"_ivl_109", 0 0, L_0x55feb963d720; 1 drivers
+v0x55feb9602d30_0 .net *"_ivl_11", 0 0, L_0x55feb9639c80; 1 drivers
+v0x55feb9602e10_0 .net *"_ivl_111", 0 0, L_0x55feb963d810; 1 drivers
+v0x55feb9602ef0_0 .net *"_ivl_112", 0 0, L_0x55feb963da50; 1 drivers
+v0x55feb9602fd0_0 .net *"_ivl_115", 0 0, L_0x55feb963dac0; 1 drivers
+v0x55feb96030b0_0 .net *"_ivl_117", 0 0, L_0x55feb963dbb0; 1 drivers
+v0x55feb9603190_0 .net *"_ivl_118", 0 0, L_0x55feb963df90; 1 drivers
+v0x55feb9603270_0 .net *"_ivl_12", 0 0, L_0x55feb9639f80; 1 drivers
+v0x55feb9603350_0 .net *"_ivl_122", 0 0, L_0x55feb963d680; 1 drivers
+v0x55feb9603430_0 .net *"_ivl_124", 0 0, L_0x55feb963e2c0; 1 drivers
+v0x55feb9603510_0 .net *"_ivl_125", 0 0, L_0x55feb963e3b0; 1 drivers
+v0x55feb96035f0_0 .net *"_ivl_128", 0 0, L_0x55feb963e420; 1 drivers
+v0x55feb96036d0_0 .net *"_ivl_130", 0 0, L_0x55feb963e690; 1 drivers
+v0x55feb96037b0_0 .net *"_ivl_131", 0 0, L_0x55feb963e7d0; 1 drivers
+v0x55feb9603890_0 .net *"_ivl_134", 0 0, L_0x55feb963e900; 1 drivers
+v0x55feb9603970_0 .net *"_ivl_136", 0 0, L_0x55feb963eb80; 1 drivers
+v0x55feb9603a50_0 .net *"_ivl_137", 0 0, L_0x55feb963ec70; 1 drivers
+v0x55feb9603b30_0 .net *"_ivl_140", 0 0, L_0x55feb963ece0; 1 drivers
+v0x55feb9603c10_0 .net *"_ivl_142", 0 0, L_0x55feb963ef70; 1 drivers
+v0x55feb9603cf0_0 .net *"_ivl_143", 0 0, L_0x55feb963f260; 1 drivers
+v0x55feb9603dd0_0 .net *"_ivl_147", 0 0, L_0x55feb963f3a0; 1 drivers
+v0x55feb9603eb0_0 .net *"_ivl_149", 0 0, L_0x55feb963f490; 1 drivers
+v0x55feb9603f90_0 .net *"_ivl_15", 0 0, L_0x55feb9639ff0; 1 drivers
+v0x55feb9604070_0 .net *"_ivl_17", 0 0, L_0x55feb963a0e0; 1 drivers
+v0x55feb9604150_0 .net *"_ivl_18", 0 0, L_0x55feb963a3a0; 1 drivers
+v0x55feb9604230_0 .net *"_ivl_22", 0 0, L_0x55feb963a460; 1 drivers
+v0x55feb9604520_0 .net *"_ivl_24", 0 0, L_0x55feb963a5a0; 1 drivers
+v0x55feb9604600_0 .net *"_ivl_25", 0 0, L_0x55feb963a690; 1 drivers
+v0x55feb96046e0_0 .net *"_ivl_28", 0 0, L_0x55feb963a700; 1 drivers
+v0x55feb96047c0_0 .net *"_ivl_3", 0 0, L_0x55feb9639940; 1 drivers
+v0x55feb96048a0_0 .net *"_ivl_30", 0 0, L_0x55feb963a850; 1 drivers
+v0x55feb9604980_0 .net *"_ivl_31", 0 0, L_0x55feb963a8f0; 1 drivers
+v0x55feb9604a60_0 .net *"_ivl_34", 0 0, L_0x55feb963a960; 1 drivers
+v0x55feb9604b40_0 .net *"_ivl_36", 0 0, L_0x55feb963aac0; 1 drivers
+v0x55feb9604c20_0 .net *"_ivl_37", 0 0, L_0x55feb963abb0; 1 drivers
+v0x55feb9604d00_0 .net *"_ivl_40", 0 0, L_0x55feb963ac20; 1 drivers
+v0x55feb9604de0_0 .net *"_ivl_42", 0 0, L_0x55feb963ad90; 1 drivers
+v0x55feb9604ec0_0 .net *"_ivl_43", 0 0, L_0x55feb963aa50; 1 drivers
+v0x55feb9604fa0_0 .net *"_ivl_47", 0 0, L_0x55feb963b140; 1 drivers
+v0x55feb9605080_0 .net *"_ivl_49", 0 0, L_0x55feb963b230; 1 drivers
+v0x55feb9605160_0 .net *"_ivl_5", 0 0, L_0x55feb9639a30; 1 drivers
+v0x55feb9605240_0 .net *"_ivl_50", 0 0, L_0x55feb963b3c0; 1 drivers
+v0x55feb9605320_0 .net *"_ivl_53", 0 0, L_0x55feb963b430; 1 drivers
+v0x55feb9605400_0 .net *"_ivl_55", 0 0, L_0x55feb963b520; 1 drivers
+v0x55feb96054e0_0 .net *"_ivl_56", 0 0, L_0x55feb963b6c0; 1 drivers
+v0x55feb96055c0_0 .net *"_ivl_59", 0 0, L_0x55feb963b320; 1 drivers
+v0x55feb96056a0_0 .net *"_ivl_6", 0 0, L_0x55feb9639b20; 1 drivers
+v0x55feb9605780_0 .net *"_ivl_61", 0 0, L_0x55feb963b790; 1 drivers
+v0x55feb9605860_0 .net *"_ivl_62", 0 0, L_0x55feb963b940; 1 drivers
+v0x55feb9605940_0 .net *"_ivl_65", 0 0, L_0x55feb963b9b0; 1 drivers
+v0x55feb9605a20_0 .net *"_ivl_67", 0 0, L_0x55feb963baa0; 1 drivers
+v0x55feb9605b00_0 .net *"_ivl_68", 0 0, L_0x55feb963bdf0; 1 drivers
+v0x55feb9605be0_0 .net *"_ivl_72", 0 0, L_0x55feb963bf20; 1 drivers
+v0x55feb9605cc0_0 .net *"_ivl_74", 0 0, L_0x55feb963c0f0; 1 drivers
+v0x55feb9605da0_0 .net *"_ivl_75", 0 0, L_0x55feb963c1e0; 1 drivers
+v0x55feb9605e80_0 .net *"_ivl_78", 0 0, L_0x55feb963c250; 1 drivers
+v0x55feb9605f60_0 .net *"_ivl_80", 0 0, L_0x55feb963c430; 1 drivers
+v0x55feb9606040_0 .net *"_ivl_81", 0 0, L_0x55feb963c570; 1 drivers
+v0x55feb9606530_0 .net *"_ivl_84", 0 0, L_0x55feb963c660; 1 drivers
+v0x55feb9606610_0 .net *"_ivl_86", 0 0, L_0x55feb963c8a0; 1 drivers
+v0x55feb96066f0_0 .net *"_ivl_87", 0 0, L_0x55feb963beb0; 1 drivers
+v0x55feb96067d0_0 .net *"_ivl_9", 0 0, L_0x55feb9639b90; 1 drivers
+v0x55feb96068b0_0 .net *"_ivl_90", 0 0, L_0x55feb963c990; 1 drivers
+v0x55feb9606990_0 .net *"_ivl_92", 0 0, L_0x55feb963cb90; 1 drivers
+v0x55feb9606a70_0 .net *"_ivl_93", 0 0, L_0x55feb963cf30; 1 drivers
+v0x55feb9606b50_0 .net *"_ivl_97", 0 0, L_0x55feb963d080; 1 drivers
+v0x55feb9606c30_0 .net *"_ivl_99", 0 0, L_0x55feb963d170; 1 drivers
+v0x55feb9606d10_0 .net "and1", 3 0, L_0x55feb963a210; 1 drivers
+v0x55feb9606df0_0 .net "opCode", 2 0, L_0x55feb963f740; 1 drivers
+v0x55feb9606ed0_0 .net "or1", 3 0, L_0x55feb963ae80; 1 drivers
+v0x55feb9606fb0_0 .net "resultA", 3 0, L_0x55feb963cc80; alias, 1 drivers
+v0x55feb9607090_0 .net "resultO", 3 0, L_0x55feb963de00; alias, 1 drivers
+v0x55feb9607170_0 .net "resultX", 3 0, L_0x55feb963f010; alias, 1 drivers
+v0x55feb9607250_0 .net "xor1", 3 0, L_0x55feb963bc60; 1 drivers
+L_0x55feb9639940 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb9639a30 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb9639b90 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb9639c80 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb9639ff0 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb963a0e0 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb963a210 .concat8 [ 1 1 1 1], L_0x55feb96398d0, L_0x55feb9639b20, L_0x55feb9639f80, L_0x55feb963a3a0;
+L_0x55feb963a460 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb963a5a0 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb963a700 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb963a850 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb963a960 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb963aac0 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb963ac20 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb963ad90 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb963ae80 .concat8 [ 1 1 1 1], L_0x55feb963a690, L_0x55feb963a8f0, L_0x55feb963abb0, L_0x55feb963aa50;
+L_0x55feb963b140 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb963b230 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb963b430 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb963b520 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb963b320 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb963b790 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb963b9b0 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb963baa0 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb963bc60 .concat8 [ 1 1 1 1], L_0x55feb963b3c0, L_0x55feb963b6c0, L_0x55feb963b940, L_0x55feb963bdf0;
+L_0x55feb963bf20 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb963c0f0 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb963c250 .part L_0x55feb963f740, 0, 1;
+L_0x55feb963c430 .part L_0x55feb963a210, 0, 1;
+L_0x55feb963c660 .part L_0x55feb963f740, 0, 1;
+L_0x55feb963c8a0 .part L_0x55feb963a210, 1, 1;
+L_0x55feb963c990 .part L_0x55feb963f740, 0, 1;
+L_0x55feb963cb90 .part L_0x55feb963a210, 2, 1;
+L_0x55feb963cc80 .concat8 [ 1 1 1 1], L_0x55feb963c1e0, L_0x55feb963c570, L_0x55feb963beb0, L_0x55feb963cf30;
+L_0x55feb963d080 .part L_0x55feb963f740, 0, 1;
+L_0x55feb963d170 .part L_0x55feb963a210, 3, 1;
+L_0x55feb963ce80 .part L_0x55feb963f740, 1, 1;
+L_0x55feb963d390 .part L_0x55feb963ae80, 0, 1;
+L_0x55feb963d720 .part L_0x55feb963f740, 1, 1;
+L_0x55feb963d810 .part L_0x55feb963ae80, 1, 1;
+L_0x55feb963dac0 .part L_0x55feb963f740, 1, 1;
+L_0x55feb963dbb0 .part L_0x55feb963ae80, 2, 1;
+L_0x55feb963de00 .concat8 [ 1 1 1 1], L_0x55feb963ce10, L_0x55feb963d610, L_0x55feb963da50, L_0x55feb963df90;
+L_0x55feb963d680 .part L_0x55feb963f740, 1, 1;
+L_0x55feb963e2c0 .part L_0x55feb963ae80, 3, 1;
+L_0x55feb963e420 .part L_0x55feb963f740, 2, 1;
+L_0x55feb963e690 .part L_0x55feb963bc60, 0, 1;
+L_0x55feb963e900 .part L_0x55feb963f740, 2, 1;
+L_0x55feb963eb80 .part L_0x55feb963bc60, 1, 1;
+L_0x55feb963ece0 .part L_0x55feb963f740, 2, 1;
+L_0x55feb963ef70 .part L_0x55feb963bc60, 2, 1;
+L_0x55feb963f010 .concat8 [ 1 1 1 1], L_0x55feb963e3b0, L_0x55feb963e7d0, L_0x55feb963ec70, L_0x55feb963f260;
+L_0x55feb963f3a0 .part L_0x55feb963f740, 2, 1;
+L_0x55feb963f490 .part L_0x55feb963bc60, 3, 1;
+S_0x55feb96073f0 .scope module, "mU" "multiplier" 3 22, 12 1 0, S_0x55feb95e4330;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /OUTPUT 8 "Y";
+L_0x55feb963f870 .functor AND 1, L_0x55feb963f8e0, L_0x55feb963f980, C4<1>, C4<1>;
+L_0x55feb963fa70 .functor AND 1, L_0x55feb963fae0, L_0x55feb963fbd0, C4<1>, C4<1>;
+L_0x55feb963fcc0 .functor AND 1, L_0x55feb963fd30, L_0x55feb963fe20, C4<1>, C4<1>;
+L_0x55feb963ff10 .functor AND 1, L_0x55feb963ff80, L_0x55feb9640070, C4<1>, C4<1>;
+L_0x7fc0ebe90018 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9640390 .functor NOT 1, L_0x7fc0ebe90018, C4<0>, C4<0>, C4<0>;
+L_0x55feb96404a0 .functor AND 1, L_0x55feb9640510, L_0x55feb9640660, C4<1>, C4<1>;
+L_0x55feb9640700 .functor AND 1, L_0x55feb9640770, L_0x55feb96408d0, C4<1>, C4<1>;
+L_0x55feb9640dd0 .functor AND 1, L_0x55feb9640e40, L_0x55feb9640fb0, C4<1>, C4<1>;
+L_0x55feb9640860 .functor AND 1, L_0x55feb9641360, L_0x55feb9641450, C4<1>, C4<1>;
+L_0x55feb9643500 .functor AND 1, L_0x55feb96438d0, L_0x55feb9641540, C4<1>, C4<1>;
+L_0x55feb9643a20 .functor AND 1, L_0x55feb9643a90, L_0x55feb9643bf0, C4<1>, C4<1>;
+L_0x55feb9643ce0 .functor AND 1, L_0x55feb9643dc0, L_0x55feb9643f80, C4<1>, C4<1>;
+L_0x55feb9644330 .functor AND 1, L_0x55feb96443f0, L_0x55feb96444e0, C4<1>, C4<1>;
+L_0x55feb96466b0 .functor AND 1, L_0x55feb9646ca0, L_0x55feb9646d40, C4<1>, C4<1>;
+L_0x55feb9643d50 .functor AND 1, L_0x55feb9646ef0, L_0x55feb9646f90, C4<1>, C4<1>;
+L_0x55feb96471a0 .functor AND 1, L_0x55feb96472a0, L_0x55feb9647390, C4<1>, C4<1>;
+L_0x55feb96476a0 .functor AND 1, L_0x55feb9647760, L_0x55feb9647990, C4<1>, C4<1>;
+L_0x7fc0ebe90138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9649a70 .functor OR 1, L_0x55feb964a0d0, L_0x7fc0ebe90138, C4<0>, C4<0>;
+L_0x7fc0ebe90180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb964a2d0 .functor OR 1, L_0x55feb964a340, L_0x7fc0ebe90180, C4<0>, C4<0>;
+L_0x7fc0ebe901c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb964a480 .functor OR 1, L_0x55feb964a030, L_0x7fc0ebe901c8, C4<0>, C4<0>;
+L_0x7fc0ebe90210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb964a800 .functor OR 1, L_0x55feb964a870, L_0x7fc0ebe90210, C4<0>, C4<0>;
+L_0x7fc0ebe90258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb964a9b0 .functor OR 1, L_0x55feb964aae0, L_0x7fc0ebe90258, C4<0>, C4<0>;
+L_0x7fc0ebe902a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb964ade0 .functor OR 1, L_0x55feb964ae50, L_0x7fc0ebe902a0, C4<0>, C4<0>;
+L_0x7fc0ebe902e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb964b440 .functor OR 1, L_0x55feb964b5d0, L_0x7fc0ebe902e8, C4<0>, C4<0>;
+v0x55feb9619870_0 .net "A", 3 0, v0x55feb9628710_0; alias, 1 drivers
+v0x55feb9619950_0 .net "B", 3 0, v0x55feb96287f0_0; alias, 1 drivers
+v0x55feb9619aa0_0 .net "S0", 4 0, L_0x55feb96437a0; 1 drivers
+v0x55feb9619b60_0 .net "S1", 4 0, L_0x55feb96469f0; 1 drivers
+v0x55feb9619c40_0 .net "S2", 4 0, L_0x55feb9649f00; 1 drivers
+v0x55feb9619d20_0 .net "Y", 7 0, L_0x55feb964af40; alias, 1 drivers
+v0x55feb9619e00_0 .net *"_ivl_1", 0 0, L_0x55feb963f870; 1 drivers
+v0x55feb9619ee0_0 .net *"_ivl_10", 0 0, L_0x55feb963fae0; 1 drivers
+v0x55feb9619fc0_0 .net *"_ivl_101", 0 0, L_0x55feb9646d40; 1 drivers
+v0x55feb961a130_0 .net *"_ivl_102", 0 0, L_0x55feb9643d50; 1 drivers
+v0x55feb961a210_0 .net *"_ivl_105", 0 0, L_0x55feb9646ef0; 1 drivers
+v0x55feb961a2f0_0 .net *"_ivl_107", 0 0, L_0x55feb9646f90; 1 drivers
+v0x55feb961a3d0_0 .net *"_ivl_108", 0 0, L_0x55feb96471a0; 1 drivers
+v0x55feb961a4b0_0 .net *"_ivl_111", 0 0, L_0x55feb96472a0; 1 drivers
+v0x55feb961a590_0 .net *"_ivl_113", 0 0, L_0x55feb9647390; 1 drivers
+v0x55feb961a670_0 .net *"_ivl_114", 0 0, L_0x55feb96476a0; 1 drivers
+v0x55feb961a750_0 .net *"_ivl_118", 0 0, L_0x55feb9647760; 1 drivers
+v0x55feb961a830_0 .net *"_ivl_12", 0 0, L_0x55feb963fbd0; 1 drivers
+v0x55feb961a910_0 .net *"_ivl_120", 0 0, L_0x55feb9647990; 1 drivers
+v0x55feb961a9f0_0 .net *"_ivl_13", 0 0, L_0x55feb963fcc0; 1 drivers
+v0x55feb961aad0_0 .net *"_ivl_130", 0 0, L_0x55feb9649a70; 1 drivers
+v0x55feb961abb0_0 .net *"_ivl_133", 0 0, L_0x55feb964a0d0; 1 drivers
+v0x55feb961ac90_0 .net/2u *"_ivl_134", 0 0, L_0x7fc0ebe90138; 1 drivers
+v0x55feb961ad70_0 .net *"_ivl_136", 0 0, L_0x55feb964a2d0; 1 drivers
+v0x55feb961ae50_0 .net *"_ivl_139", 0 0, L_0x55feb964a340; 1 drivers
+v0x55feb961af30_0 .net/2u *"_ivl_140", 0 0, L_0x7fc0ebe90180; 1 drivers
+v0x55feb961b010_0 .net *"_ivl_142", 0 0, L_0x55feb964a480; 1 drivers
+v0x55feb961b0f0_0 .net *"_ivl_145", 0 0, L_0x55feb964a030; 1 drivers
+v0x55feb961b1d0_0 .net/2u *"_ivl_146", 0 0, L_0x7fc0ebe901c8; 1 drivers
+v0x55feb961b2b0_0 .net *"_ivl_148", 0 0, L_0x55feb964a800; 1 drivers
+v0x55feb961b390_0 .net *"_ivl_151", 0 0, L_0x55feb964a870; 1 drivers
+v0x55feb961b470_0 .net/2u *"_ivl_152", 0 0, L_0x7fc0ebe90210; 1 drivers
+v0x55feb961b550_0 .net *"_ivl_154", 0 0, L_0x55feb964a9b0; 1 drivers
+v0x55feb961b630_0 .net *"_ivl_157", 0 0, L_0x55feb964aae0; 1 drivers
+v0x55feb961b710_0 .net/2u *"_ivl_158", 0 0, L_0x7fc0ebe90258; 1 drivers
+v0x55feb961b7f0_0 .net *"_ivl_16", 0 0, L_0x55feb963fd30; 1 drivers
+v0x55feb961b8d0_0 .net *"_ivl_160", 0 0, L_0x55feb964ade0; 1 drivers
+v0x55feb961b9b0_0 .net *"_ivl_163", 0 0, L_0x55feb964ae50; 1 drivers
+v0x55feb961ba90_0 .net/2u *"_ivl_164", 0 0, L_0x7fc0ebe902a0; 1 drivers
+v0x55feb961bb70_0 .net *"_ivl_166", 0 0, L_0x55feb964b440; 1 drivers
+v0x55feb961bc50_0 .net *"_ivl_170", 0 0, L_0x55feb964b5d0; 1 drivers
+v0x55feb961bd30_0 .net/2u *"_ivl_171", 0 0, L_0x7fc0ebe902e8; 1 drivers
+v0x55feb961be10_0 .net *"_ivl_18", 0 0, L_0x55feb963fe20; 1 drivers
+v0x55feb961bef0_0 .net *"_ivl_19", 0 0, L_0x55feb963ff10; 1 drivers
+v0x55feb961bfd0_0 .net *"_ivl_22", 0 0, L_0x55feb963ff80; 1 drivers
+v0x55feb961c0b0_0 .net *"_ivl_24", 0 0, L_0x55feb9640070; 1 drivers
+v0x55feb961c190_0 .net *"_ivl_25", 0 0, L_0x55feb9640390; 1 drivers
+v0x55feb961c270_0 .net/2u *"_ivl_28", 0 0, L_0x7fc0ebe90018; 1 drivers
+v0x55feb961c350_0 .net *"_ivl_30", 0 0, L_0x55feb96404a0; 1 drivers
+v0x55feb961c430_0 .net *"_ivl_33", 0 0, L_0x55feb9640510; 1 drivers
+v0x55feb961c510_0 .net *"_ivl_35", 0 0, L_0x55feb9640660; 1 drivers
+v0x55feb961c5f0_0 .net *"_ivl_36", 0 0, L_0x55feb9640700; 1 drivers
+v0x55feb961c6d0_0 .net *"_ivl_39", 0 0, L_0x55feb9640770; 1 drivers
+v0x55feb961c7b0_0 .net *"_ivl_4", 0 0, L_0x55feb963f8e0; 1 drivers
+v0x55feb961c890_0 .net *"_ivl_41", 0 0, L_0x55feb96408d0; 1 drivers
+v0x55feb961c970_0 .net *"_ivl_42", 0 0, L_0x55feb9640dd0; 1 drivers
+v0x55feb961ca50_0 .net *"_ivl_45", 0 0, L_0x55feb9640e40; 1 drivers
+v0x55feb961cb30_0 .net *"_ivl_47", 0 0, L_0x55feb9640fb0; 1 drivers
+v0x55feb961cc10_0 .net *"_ivl_48", 0 0, L_0x55feb9640860; 1 drivers
+v0x55feb961ccf0_0 .net *"_ivl_52", 0 0, L_0x55feb9641360; 1 drivers
+v0x55feb961cdd0_0 .net *"_ivl_54", 0 0, L_0x55feb9641450; 1 drivers
+v0x55feb961ceb0_0 .net *"_ivl_6", 0 0, L_0x55feb963f980; 1 drivers
+v0x55feb961cf90_0 .net *"_ivl_62", 0 0, L_0x55feb9643500; 1 drivers
+v0x55feb961d070_0 .net *"_ivl_65", 0 0, L_0x55feb96438d0; 1 drivers
+v0x55feb961d150_0 .net *"_ivl_67", 0 0, L_0x55feb9641540; 1 drivers
+v0x55feb961d640_0 .net *"_ivl_68", 0 0, L_0x55feb9643a20; 1 drivers
+v0x55feb961d720_0 .net *"_ivl_7", 0 0, L_0x55feb963fa70; 1 drivers
+v0x55feb961d800_0 .net *"_ivl_71", 0 0, L_0x55feb9643a90; 1 drivers
+v0x55feb961d8e0_0 .net *"_ivl_73", 0 0, L_0x55feb9643bf0; 1 drivers
+v0x55feb961d9c0_0 .net *"_ivl_74", 0 0, L_0x55feb9643ce0; 1 drivers
+v0x55feb961daa0_0 .net *"_ivl_77", 0 0, L_0x55feb9643dc0; 1 drivers
+v0x55feb961db80_0 .net *"_ivl_79", 0 0, L_0x55feb9643f80; 1 drivers
+v0x55feb961dc60_0 .net *"_ivl_80", 0 0, L_0x55feb9644330; 1 drivers
+v0x55feb961dd40_0 .net *"_ivl_84", 0 0, L_0x55feb96443f0; 1 drivers
+v0x55feb961de20_0 .net *"_ivl_86", 0 0, L_0x55feb96444e0; 1 drivers
+v0x55feb961df00_0 .net *"_ivl_96", 0 0, L_0x55feb96466b0; 1 drivers
+v0x55feb961dfe0_0 .net *"_ivl_99", 0 0, L_0x55feb9646ca0; 1 drivers
+v0x55feb961e0c0_0 .net "a0", 3 0, L_0x55feb96410a0; 1 drivers
+v0x55feb961e180_0 .net "a1", 3 0, L_0x55feb9644070; 1 drivers
+v0x55feb961e250_0 .net "a2", 3 0, L_0x55feb9647080; 1 drivers
+v0x55feb961e320_0 .net "b0", 3 0, L_0x55feb96401b0; 1 drivers
+v0x55feb961e3f0_0 .net "overflow0", 0 0, L_0x55feb9643610; 1 drivers
+v0x55feb961e4c0_0 .net "overflow1", 0 0, L_0x55feb96467c0; 1 drivers
+v0x55feb961e590_0 .net "overflow2", 0 0, L_0x55feb9649b80; 1 drivers
+L_0x55feb963f8e0 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb963f980 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb963fae0 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb963fbd0 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb963fd30 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb963fe20 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb963ff80 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb9640070 .part v0x55feb96287f0_0, 0, 1;
+L_0x55feb96401b0 .concat8 [ 1 1 1 1], L_0x55feb963fa70, L_0x55feb963fcc0, L_0x55feb963ff10, L_0x55feb9640390;
+L_0x55feb9640510 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb9640660 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb9640770 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb96408d0 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb9640e40 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb9640fb0 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb96410a0 .concat8 [ 1 1 1 1], L_0x55feb96404a0, L_0x55feb9640700, L_0x55feb9640dd0, L_0x55feb9640860;
+L_0x55feb9641360 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb9641450 .part v0x55feb96287f0_0, 1, 1;
+L_0x55feb96437a0 .concat8 [ 4 1 0 0], L_0x55feb9643570, L_0x55feb9642fc0;
+L_0x55feb96438d0 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb9641540 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb9643a90 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb9643bf0 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb9643dc0 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb9643f80 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb9644070 .concat8 [ 1 1 1 1], L_0x55feb9643500, L_0x55feb9643a20, L_0x55feb9643ce0, L_0x55feb9644330;
+L_0x55feb96443f0 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb96444e0 .part v0x55feb96287f0_0, 2, 1;
+L_0x55feb9646950 .part L_0x55feb96437a0, 1, 4;
+L_0x55feb96469f0 .concat8 [ 4 1 0 0], L_0x55feb9646720, L_0x55feb9646140;
+L_0x55feb9646ca0 .part v0x55feb9628710_0, 0, 1;
+L_0x55feb9646d40 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb9646ef0 .part v0x55feb9628710_0, 1, 1;
+L_0x55feb9646f90 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb96472a0 .part v0x55feb9628710_0, 2, 1;
+L_0x55feb9647390 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb9647080 .concat8 [ 1 1 1 1], L_0x55feb96466b0, L_0x55feb9643d50, L_0x55feb96471a0, L_0x55feb96476a0;
+L_0x55feb9647760 .part v0x55feb9628710_0, 3, 1;
+L_0x55feb9647990 .part v0x55feb96287f0_0, 3, 1;
+L_0x55feb9649d10 .part L_0x55feb96469f0, 1, 4;
+L_0x55feb9649f00 .concat8 [ 4 1 0 0], L_0x55feb9649ae0, L_0x55feb9649500;
+L_0x55feb964a0d0 .part L_0x55feb96437a0, 0, 1;
+L_0x55feb964a340 .part L_0x55feb96469f0, 0, 1;
+L_0x55feb964a030 .part L_0x55feb9649f00, 0, 1;
+L_0x55feb964a870 .part L_0x55feb9649f00, 1, 1;
+L_0x55feb964aae0 .part L_0x55feb9649f00, 2, 1;
+L_0x55feb964ae50 .part L_0x55feb9649f00, 3, 1;
+LS_0x55feb964af40_0_0 .concat8 [ 1 1 1 1], L_0x55feb963f870, L_0x55feb9649a70, L_0x55feb964a2d0, L_0x55feb964a480;
+LS_0x55feb964af40_0_4 .concat8 [ 1 1 1 1], L_0x55feb964a800, L_0x55feb964a9b0, L_0x55feb964ade0, L_0x55feb964b440;
+L_0x55feb964af40 .concat8 [ 4 4 0 0], LS_0x55feb964af40_0_0, LS_0x55feb964af40_0_4;
+L_0x55feb964b5d0 .part L_0x55feb9649f00, 4, 1;
+S_0x55feb96075d0 .scope module, "add0" "addition" 12 26, 5 1 0, S_0x55feb96073f0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x55feb9643610 .functor XOR 1, L_0x55feb9643680, L_0x55feb9642fc0, C4<0>, C4<0>;
+v0x55feb960cf00_0 .net "A", 3 0, L_0x55feb96410a0; alias, 1 drivers
+v0x55feb960cfe0_0 .net "B", 3 0, L_0x55feb96401b0; alias, 1 drivers
+v0x55feb960d0c0_0 .net "Carry4", 2 0, L_0x55feb9642af0; 1 drivers
+L_0x7fc0ebe90060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x55feb960d180_0 .net "CarryIN", 0 0, L_0x7fc0ebe90060; 1 drivers
+v0x55feb960d270_0 .net "CarryOUT", 0 0, L_0x55feb9642fc0; 1 drivers
+v0x55feb960d360_0 .net "Y", 3 0, L_0x55feb9643570; 1 drivers
+v0x55feb960d420_0 .net *"_ivl_39", 0 0, L_0x55feb9643680; 1 drivers
+v0x55feb960d500_0 .net "overflow", 0 0, L_0x55feb9643610; alias, 1 drivers
+L_0x55feb96419e0 .part L_0x55feb96410a0, 0, 1;
+L_0x55feb9641b10 .part L_0x55feb96401b0, 0, 1;
+L_0x55feb9641fa0 .part L_0x55feb96410a0, 1, 1;
+L_0x55feb9642160 .part L_0x55feb96401b0, 1, 1;
+L_0x55feb9642320 .part L_0x55feb9642af0, 0, 1;
+L_0x55feb9642710 .part L_0x55feb96410a0, 2, 1;
+L_0x55feb9642840 .part L_0x55feb96401b0, 2, 1;
+L_0x55feb9642970 .part L_0x55feb9642af0, 1, 1;
+L_0x55feb9642af0 .concat8 [ 1 1 1 0], L_0x55feb9641970, L_0x55feb9641f30, L_0x55feb96426a0;
+L_0x55feb9643080 .part L_0x55feb96410a0, 3, 1;
+L_0x55feb9643210 .part L_0x55feb96401b0, 3, 1;
+L_0x55feb9643340 .part L_0x55feb9642af0, 2, 1;
+L_0x55feb9643570 .concat8 [ 1 1 1 1], L_0x55feb9641870, L_0x55feb9641e70, L_0x55feb9642630, L_0x55feb9642f00;
+L_0x55feb9643680 .part L_0x55feb9642af0, 2, 1;
+S_0x55feb9607870 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55feb96075d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9641970 .functor OR 1, L_0x55feb96415e0, L_0x55feb96417b0, C4<0>, C4<0>;
+v0x55feb9608790_0 .net "A", 0 0, L_0x55feb96419e0; 1 drivers
+v0x55feb9608850_0 .net "B", 0 0, L_0x55feb9641b10; 1 drivers
+v0x55feb9608920_0 .net "Carry", 0 0, L_0x7fc0ebe90060; alias, 1 drivers
+v0x55feb9608a20_0 .net "CarryO", 0 0, L_0x55feb9641970; 1 drivers
+v0x55feb9608ac0_0 .net "Sum", 0 0, L_0x55feb9641870; 1 drivers
+v0x55feb9608bb0_0 .net "and1", 0 0, L_0x55feb96415e0; 1 drivers
+v0x55feb9608c80_0 .net "and2", 0 0, L_0x55feb96417b0; 1 drivers
+v0x55feb9608d50_0 .net "xor1", 0 0, L_0x55feb9641740; 1 drivers
+S_0x55feb9607af0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb9607870;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96415e0 .functor AND 1, L_0x55feb96419e0, L_0x55feb9641b10, C4<1>, C4<1>;
+L_0x55feb9641740 .functor XOR 1, L_0x55feb96419e0, L_0x55feb9641b10, C4<0>, C4<0>;
+v0x55feb9607d90_0 .net "A", 0 0, L_0x55feb96419e0; alias, 1 drivers
+v0x55feb9607e70_0 .net "B", 0 0, L_0x55feb9641b10; alias, 1 drivers
+v0x55feb9607f30_0 .net "Carry", 0 0, L_0x55feb96415e0; alias, 1 drivers
+v0x55feb9608000_0 .net "Sum", 0 0, L_0x55feb9641740; alias, 1 drivers
+S_0x55feb9608170 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb9607870;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96417b0 .functor AND 1, L_0x55feb9641740, L_0x7fc0ebe90060, C4<1>, C4<1>;
+L_0x55feb9641870 .functor XOR 1, L_0x55feb9641740, L_0x7fc0ebe90060, C4<0>, C4<0>;
+v0x55feb96083e0_0 .net "A", 0 0, L_0x55feb9641740; alias, 1 drivers
+v0x55feb96084b0_0 .net "B", 0 0, L_0x7fc0ebe90060; alias, 1 drivers
+v0x55feb9608550_0 .net "Carry", 0 0, L_0x55feb96417b0; alias, 1 drivers
+v0x55feb9608620_0 .net "Sum", 0 0, L_0x55feb9641870; alias, 1 drivers
+S_0x55feb9608e40 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55feb96075d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9641f30 .functor OR 1, L_0x55feb9641c40, L_0x55feb9641d20, C4<0>, C4<0>;
+v0x55feb9609d20_0 .net "A", 0 0, L_0x55feb9641fa0; 1 drivers
+v0x55feb9609de0_0 .net "B", 0 0, L_0x55feb9642160; 1 drivers
+v0x55feb9609eb0_0 .net "Carry", 0 0, L_0x55feb9642320; 1 drivers
+v0x55feb9609fb0_0 .net "CarryO", 0 0, L_0x55feb9641f30; 1 drivers
+v0x55feb960a050_0 .net "Sum", 0 0, L_0x55feb9641e70; 1 drivers
+v0x55feb960a140_0 .net "and1", 0 0, L_0x55feb9641c40; 1 drivers
+v0x55feb960a210_0 .net "and2", 0 0, L_0x55feb9641d20; 1 drivers
+v0x55feb960a2e0_0 .net "xor1", 0 0, L_0x55feb9641cb0; 1 drivers
+S_0x55feb96090a0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb9608e40;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9641c40 .functor AND 1, L_0x55feb9641fa0, L_0x55feb9642160, C4<1>, C4<1>;
+L_0x55feb9641cb0 .functor XOR 1, L_0x55feb9641fa0, L_0x55feb9642160, C4<0>, C4<0>;
+v0x55feb9609320_0 .net "A", 0 0, L_0x55feb9641fa0; alias, 1 drivers
+v0x55feb9609400_0 .net "B", 0 0, L_0x55feb9642160; alias, 1 drivers
+v0x55feb96094c0_0 .net "Carry", 0 0, L_0x55feb9641c40; alias, 1 drivers
+v0x55feb9609590_0 .net "Sum", 0 0, L_0x55feb9641cb0; alias, 1 drivers
+S_0x55feb9609700 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb9608e40;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9641d20 .functor AND 1, L_0x55feb9641cb0, L_0x55feb9642320, C4<1>, C4<1>;
+L_0x55feb9641e70 .functor XOR 1, L_0x55feb9641cb0, L_0x55feb9642320, C4<0>, C4<0>;
+v0x55feb9609970_0 .net "A", 0 0, L_0x55feb9641cb0; alias, 1 drivers
+v0x55feb9609a40_0 .net "B", 0 0, L_0x55feb9642320; alias, 1 drivers
+v0x55feb9609ae0_0 .net "Carry", 0 0, L_0x55feb9641d20; alias, 1 drivers
+v0x55feb9609bb0_0 .net "Sum", 0 0, L_0x55feb9641e70; alias, 1 drivers
+S_0x55feb960a3d0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55feb96075d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb96426a0 .functor OR 1, L_0x55feb9642450, L_0x55feb9642530, C4<0>, C4<0>;
+v0x55feb960b2c0_0 .net "A", 0 0, L_0x55feb9642710; 1 drivers
+v0x55feb960b380_0 .net "B", 0 0, L_0x55feb9642840; 1 drivers
+v0x55feb960b450_0 .net "Carry", 0 0, L_0x55feb9642970; 1 drivers
+v0x55feb960b550_0 .net "CarryO", 0 0, L_0x55feb96426a0; 1 drivers
+v0x55feb960b5f0_0 .net "Sum", 0 0, L_0x55feb9642630; 1 drivers
+v0x55feb960b6e0_0 .net "and1", 0 0, L_0x55feb9642450; 1 drivers
+v0x55feb960b7b0_0 .net "and2", 0 0, L_0x55feb9642530; 1 drivers
+v0x55feb960b880_0 .net "xor1", 0 0, L_0x55feb96424c0; 1 drivers
+S_0x55feb960a660 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb960a3d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9642450 .functor AND 1, L_0x55feb9642710, L_0x55feb9642840, C4<1>, C4<1>;
+L_0x55feb96424c0 .functor XOR 1, L_0x55feb9642710, L_0x55feb9642840, C4<0>, C4<0>;
+v0x55feb960a8e0_0 .net "A", 0 0, L_0x55feb9642710; alias, 1 drivers
+v0x55feb960a9a0_0 .net "B", 0 0, L_0x55feb9642840; alias, 1 drivers
+v0x55feb960aa60_0 .net "Carry", 0 0, L_0x55feb9642450; alias, 1 drivers
+v0x55feb960ab30_0 .net "Sum", 0 0, L_0x55feb96424c0; alias, 1 drivers
+S_0x55feb960aca0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb960a3d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9642530 .functor AND 1, L_0x55feb96424c0, L_0x55feb9642970, C4<1>, C4<1>;
+L_0x55feb9642630 .functor XOR 1, L_0x55feb96424c0, L_0x55feb9642970, C4<0>, C4<0>;
+v0x55feb960af10_0 .net "A", 0 0, L_0x55feb96424c0; alias, 1 drivers
+v0x55feb960afe0_0 .net "B", 0 0, L_0x55feb9642970; alias, 1 drivers
+v0x55feb960b080_0 .net "Carry", 0 0, L_0x55feb9642530; alias, 1 drivers
+v0x55feb960b150_0 .net "Sum", 0 0, L_0x55feb9642630; alias, 1 drivers
+S_0x55feb960b970 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55feb96075d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9642fc0 .functor OR 1, L_0x55feb9642be0, L_0x55feb9642db0, C4<0>, C4<0>;
+v0x55feb960c850_0 .net "A", 0 0, L_0x55feb9643080; 1 drivers
+v0x55feb960c910_0 .net "B", 0 0, L_0x55feb9643210; 1 drivers
+v0x55feb960c9e0_0 .net "Carry", 0 0, L_0x55feb9643340; 1 drivers
+v0x55feb960cae0_0 .net "CarryO", 0 0, L_0x55feb9642fc0; alias, 1 drivers
+v0x55feb960cb80_0 .net "Sum", 0 0, L_0x55feb9642f00; 1 drivers
+v0x55feb960cc70_0 .net "and1", 0 0, L_0x55feb9642be0; 1 drivers
+v0x55feb960cd40_0 .net "and2", 0 0, L_0x55feb9642db0; 1 drivers
+v0x55feb960ce10_0 .net "xor1", 0 0, L_0x55feb9642d40; 1 drivers
+S_0x55feb960bbd0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb960b970;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9642be0 .functor AND 1, L_0x55feb9643080, L_0x55feb9643210, C4<1>, C4<1>;
+L_0x55feb9642d40 .functor XOR 1, L_0x55feb9643080, L_0x55feb9643210, C4<0>, C4<0>;
+v0x55feb960be50_0 .net "A", 0 0, L_0x55feb9643080; alias, 1 drivers
+v0x55feb960bf30_0 .net "B", 0 0, L_0x55feb9643210; alias, 1 drivers
+v0x55feb960bff0_0 .net "Carry", 0 0, L_0x55feb9642be0; alias, 1 drivers
+v0x55feb960c0c0_0 .net "Sum", 0 0, L_0x55feb9642d40; alias, 1 drivers
+S_0x55feb960c230 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb960b970;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9642db0 .functor AND 1, L_0x55feb9642d40, L_0x55feb9643340, C4<1>, C4<1>;
+L_0x55feb9642f00 .functor XOR 1, L_0x55feb9642d40, L_0x55feb9643340, C4<0>, C4<0>;
+v0x55feb960c4a0_0 .net "A", 0 0, L_0x55feb9642d40; alias, 1 drivers
+v0x55feb960c570_0 .net "B", 0 0, L_0x55feb9643340; alias, 1 drivers
+v0x55feb960c610_0 .net "Carry", 0 0, L_0x55feb9642db0; alias, 1 drivers
+v0x55feb960c6e0_0 .net "Sum", 0 0, L_0x55feb9642f00; alias, 1 drivers
+S_0x55feb960d6c0 .scope module, "add1" "addition" 12 42, 5 1 0, S_0x55feb96073f0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x55feb96467c0 .functor XOR 1, L_0x55feb9646830, L_0x55feb9646140, C4<0>, C4<0>;
+v0x55feb9612fd0_0 .net "A", 3 0, L_0x55feb9644070; alias, 1 drivers
+v0x55feb96130b0_0 .net "B", 3 0, L_0x55feb9646950; 1 drivers
+v0x55feb9613190_0 .net "Carry4", 2 0, L_0x55feb9645c70; 1 drivers
+L_0x7fc0ebe900a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x55feb9613250_0 .net "CarryIN", 0 0, L_0x7fc0ebe900a8; 1 drivers
+v0x55feb9613340_0 .net "CarryOUT", 0 0, L_0x55feb9646140; 1 drivers
+v0x55feb9613430_0 .net "Y", 3 0, L_0x55feb9646720; 1 drivers
+v0x55feb96134f0_0 .net *"_ivl_39", 0 0, L_0x55feb9646830; 1 drivers
+v0x55feb96135d0_0 .net "overflow", 0 0, L_0x55feb96467c0; alias, 1 drivers
+L_0x55feb9644b50 .part L_0x55feb9644070, 0, 1;
+L_0x55feb9644c80 .part L_0x55feb9646950, 0, 1;
+L_0x55feb9645110 .part L_0x55feb9644070, 1, 1;
+L_0x55feb96452d0 .part L_0x55feb9646950, 1, 1;
+L_0x55feb9645400 .part L_0x55feb9645c70, 0, 1;
+L_0x55feb9645890 .part L_0x55feb9644070, 2, 1;
+L_0x55feb96459c0 .part L_0x55feb9646950, 2, 1;
+L_0x55feb9645af0 .part L_0x55feb9645c70, 1, 1;
+L_0x55feb9645c70 .concat8 [ 1 1 1 0], L_0x55feb9644ae0, L_0x55feb96450a0, L_0x55feb9645820;
+L_0x55feb9646200 .part L_0x55feb9644070, 3, 1;
+L_0x55feb9646330 .part L_0x55feb9646950, 3, 1;
+L_0x55feb96464f0 .part L_0x55feb9645c70, 2, 1;
+L_0x55feb9646720 .concat8 [ 1 1 1 1], L_0x55feb96449e0, L_0x55feb9644fe0, L_0x55feb9645760, L_0x55feb9646080;
+L_0x55feb9646830 .part L_0x55feb9645c70, 2, 1;
+S_0x55feb960d960 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55feb960d6c0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9644ae0 .functor OR 1, L_0x55feb96446c0, L_0x55feb9644890, C4<0>, C4<0>;
+v0x55feb960e860_0 .net "A", 0 0, L_0x55feb9644b50; 1 drivers
+v0x55feb960e920_0 .net "B", 0 0, L_0x55feb9644c80; 1 drivers
+v0x55feb960e9f0_0 .net "Carry", 0 0, L_0x7fc0ebe900a8; alias, 1 drivers
+v0x55feb960eaf0_0 .net "CarryO", 0 0, L_0x55feb9644ae0; 1 drivers
+v0x55feb960eb90_0 .net "Sum", 0 0, L_0x55feb96449e0; 1 drivers
+v0x55feb960ec80_0 .net "and1", 0 0, L_0x55feb96446c0; 1 drivers
+v0x55feb960ed50_0 .net "and2", 0 0, L_0x55feb9644890; 1 drivers
+v0x55feb960ee20_0 .net "xor1", 0 0, L_0x55feb9644820; 1 drivers
+S_0x55feb960dbc0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb960d960;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96446c0 .functor AND 1, L_0x55feb9644b50, L_0x55feb9644c80, C4<1>, C4<1>;
+L_0x55feb9644820 .functor XOR 1, L_0x55feb9644b50, L_0x55feb9644c80, C4<0>, C4<0>;
+v0x55feb960de60_0 .net "A", 0 0, L_0x55feb9644b50; alias, 1 drivers
+v0x55feb960df40_0 .net "B", 0 0, L_0x55feb9644c80; alias, 1 drivers
+v0x55feb960e000_0 .net "Carry", 0 0, L_0x55feb96446c0; alias, 1 drivers
+v0x55feb960e0d0_0 .net "Sum", 0 0, L_0x55feb9644820; alias, 1 drivers
+S_0x55feb960e240 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb960d960;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9644890 .functor AND 1, L_0x55feb9644820, L_0x7fc0ebe900a8, C4<1>, C4<1>;
+L_0x55feb96449e0 .functor XOR 1, L_0x55feb9644820, L_0x7fc0ebe900a8, C4<0>, C4<0>;
+v0x55feb960e4b0_0 .net "A", 0 0, L_0x55feb9644820; alias, 1 drivers
+v0x55feb960e580_0 .net "B", 0 0, L_0x7fc0ebe900a8; alias, 1 drivers
+v0x55feb960e620_0 .net "Carry", 0 0, L_0x55feb9644890; alias, 1 drivers
+v0x55feb960e6f0_0 .net "Sum", 0 0, L_0x55feb96449e0; alias, 1 drivers
+S_0x55feb960ef10 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55feb960d6c0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb96450a0 .functor OR 1, L_0x55feb9644db0, L_0x55feb9644e90, C4<0>, C4<0>;
+v0x55feb960fdf0_0 .net "A", 0 0, L_0x55feb9645110; 1 drivers
+v0x55feb960feb0_0 .net "B", 0 0, L_0x55feb96452d0; 1 drivers
+v0x55feb960ff80_0 .net "Carry", 0 0, L_0x55feb9645400; 1 drivers
+v0x55feb9610080_0 .net "CarryO", 0 0, L_0x55feb96450a0; 1 drivers
+v0x55feb9610120_0 .net "Sum", 0 0, L_0x55feb9644fe0; 1 drivers
+v0x55feb9610210_0 .net "and1", 0 0, L_0x55feb9644db0; 1 drivers
+v0x55feb96102e0_0 .net "and2", 0 0, L_0x55feb9644e90; 1 drivers
+v0x55feb96103b0_0 .net "xor1", 0 0, L_0x55feb9644e20; 1 drivers
+S_0x55feb960f170 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb960ef10;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9644db0 .functor AND 1, L_0x55feb9645110, L_0x55feb96452d0, C4<1>, C4<1>;
+L_0x55feb9644e20 .functor XOR 1, L_0x55feb9645110, L_0x55feb96452d0, C4<0>, C4<0>;
+v0x55feb960f3f0_0 .net "A", 0 0, L_0x55feb9645110; alias, 1 drivers
+v0x55feb960f4d0_0 .net "B", 0 0, L_0x55feb96452d0; alias, 1 drivers
+v0x55feb960f590_0 .net "Carry", 0 0, L_0x55feb9644db0; alias, 1 drivers
+v0x55feb960f660_0 .net "Sum", 0 0, L_0x55feb9644e20; alias, 1 drivers
+S_0x55feb960f7d0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb960ef10;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9644e90 .functor AND 1, L_0x55feb9644e20, L_0x55feb9645400, C4<1>, C4<1>;
+L_0x55feb9644fe0 .functor XOR 1, L_0x55feb9644e20, L_0x55feb9645400, C4<0>, C4<0>;
+v0x55feb960fa40_0 .net "A", 0 0, L_0x55feb9644e20; alias, 1 drivers
+v0x55feb960fb10_0 .net "B", 0 0, L_0x55feb9645400; alias, 1 drivers
+v0x55feb960fbb0_0 .net "Carry", 0 0, L_0x55feb9644e90; alias, 1 drivers
+v0x55feb960fc80_0 .net "Sum", 0 0, L_0x55feb9644fe0; alias, 1 drivers
+S_0x55feb96104a0 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55feb960d6c0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9645820 .functor OR 1, L_0x55feb9645530, L_0x55feb9645610, C4<0>, C4<0>;
+v0x55feb9611390_0 .net "A", 0 0, L_0x55feb9645890; 1 drivers
+v0x55feb9611450_0 .net "B", 0 0, L_0x55feb96459c0; 1 drivers
+v0x55feb9611520_0 .net "Carry", 0 0, L_0x55feb9645af0; 1 drivers
+v0x55feb9611620_0 .net "CarryO", 0 0, L_0x55feb9645820; 1 drivers
+v0x55feb96116c0_0 .net "Sum", 0 0, L_0x55feb9645760; 1 drivers
+v0x55feb96117b0_0 .net "and1", 0 0, L_0x55feb9645530; 1 drivers
+v0x55feb9611880_0 .net "and2", 0 0, L_0x55feb9645610; 1 drivers
+v0x55feb9611950_0 .net "xor1", 0 0, L_0x55feb96455a0; 1 drivers
+S_0x55feb9610730 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb96104a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9645530 .functor AND 1, L_0x55feb9645890, L_0x55feb96459c0, C4<1>, C4<1>;
+L_0x55feb96455a0 .functor XOR 1, L_0x55feb9645890, L_0x55feb96459c0, C4<0>, C4<0>;
+v0x55feb96109b0_0 .net "A", 0 0, L_0x55feb9645890; alias, 1 drivers
+v0x55feb9610a70_0 .net "B", 0 0, L_0x55feb96459c0; alias, 1 drivers
+v0x55feb9610b30_0 .net "Carry", 0 0, L_0x55feb9645530; alias, 1 drivers
+v0x55feb9610c00_0 .net "Sum", 0 0, L_0x55feb96455a0; alias, 1 drivers
+S_0x55feb9610d70 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb96104a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9645610 .functor AND 1, L_0x55feb96455a0, L_0x55feb9645af0, C4<1>, C4<1>;
+L_0x55feb9645760 .functor XOR 1, L_0x55feb96455a0, L_0x55feb9645af0, C4<0>, C4<0>;
+v0x55feb9610fe0_0 .net "A", 0 0, L_0x55feb96455a0; alias, 1 drivers
+v0x55feb96110b0_0 .net "B", 0 0, L_0x55feb9645af0; alias, 1 drivers
+v0x55feb9611150_0 .net "Carry", 0 0, L_0x55feb9645610; alias, 1 drivers
+v0x55feb9611220_0 .net "Sum", 0 0, L_0x55feb9645760; alias, 1 drivers
+S_0x55feb9611a40 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55feb960d6c0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9646140 .functor OR 1, L_0x55feb9645d60, L_0x55feb9645f30, C4<0>, C4<0>;
+v0x55feb9612920_0 .net "A", 0 0, L_0x55feb9646200; 1 drivers
+v0x55feb96129e0_0 .net "B", 0 0, L_0x55feb9646330; 1 drivers
+v0x55feb9612ab0_0 .net "Carry", 0 0, L_0x55feb96464f0; 1 drivers
+v0x55feb9612bb0_0 .net "CarryO", 0 0, L_0x55feb9646140; alias, 1 drivers
+v0x55feb9612c50_0 .net "Sum", 0 0, L_0x55feb9646080; 1 drivers
+v0x55feb9612d40_0 .net "and1", 0 0, L_0x55feb9645d60; 1 drivers
+v0x55feb9612e10_0 .net "and2", 0 0, L_0x55feb9645f30; 1 drivers
+v0x55feb9612ee0_0 .net "xor1", 0 0, L_0x55feb9645ec0; 1 drivers
+S_0x55feb9611ca0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb9611a40;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9645d60 .functor AND 1, L_0x55feb9646200, L_0x55feb9646330, C4<1>, C4<1>;
+L_0x55feb9645ec0 .functor XOR 1, L_0x55feb9646200, L_0x55feb9646330, C4<0>, C4<0>;
+v0x55feb9611f20_0 .net "A", 0 0, L_0x55feb9646200; alias, 1 drivers
+v0x55feb9612000_0 .net "B", 0 0, L_0x55feb9646330; alias, 1 drivers
+v0x55feb96120c0_0 .net "Carry", 0 0, L_0x55feb9645d60; alias, 1 drivers
+v0x55feb9612190_0 .net "Sum", 0 0, L_0x55feb9645ec0; alias, 1 drivers
+S_0x55feb9612300 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb9611a40;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9645f30 .functor AND 1, L_0x55feb9645ec0, L_0x55feb96464f0, C4<1>, C4<1>;
+L_0x55feb9646080 .functor XOR 1, L_0x55feb9645ec0, L_0x55feb96464f0, C4<0>, C4<0>;
+v0x55feb9612570_0 .net "A", 0 0, L_0x55feb9645ec0; alias, 1 drivers
+v0x55feb9612640_0 .net "B", 0 0, L_0x55feb96464f0; alias, 1 drivers
+v0x55feb96126e0_0 .net "Carry", 0 0, L_0x55feb9645f30; alias, 1 drivers
+v0x55feb96127b0_0 .net "Sum", 0 0, L_0x55feb9646080; alias, 1 drivers
+S_0x55feb9613790 .scope module, "add2" "addition" 12 58, 5 1 0, S_0x55feb96073f0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x55feb9649b80 .functor XOR 1, L_0x55feb9649bf0, L_0x55feb9649500, C4<0>, C4<0>;
+v0x55feb96190b0_0 .net "A", 3 0, L_0x55feb9647080; alias, 1 drivers
+v0x55feb9619190_0 .net "B", 3 0, L_0x55feb9649d10; 1 drivers
+v0x55feb9619270_0 .net "Carry4", 2 0, L_0x55feb9649030; 1 drivers
+L_0x7fc0ebe900f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x55feb9619330_0 .net "CarryIN", 0 0, L_0x7fc0ebe900f0; 1 drivers
+v0x55feb9619420_0 .net "CarryOUT", 0 0, L_0x55feb9649500; 1 drivers
+v0x55feb9619510_0 .net "Y", 3 0, L_0x55feb9649ae0; 1 drivers
+v0x55feb96195d0_0 .net *"_ivl_39", 0 0, L_0x55feb9649bf0; 1 drivers
+v0x55feb96196b0_0 .net "overflow", 0 0, L_0x55feb9649b80; alias, 1 drivers
+L_0x55feb9647f10 .part L_0x55feb9647080, 0, 1;
+L_0x55feb9648040 .part L_0x55feb9649d10, 0, 1;
+L_0x55feb96484d0 .part L_0x55feb9647080, 1, 1;
+L_0x55feb9648690 .part L_0x55feb9649d10, 1, 1;
+L_0x55feb96487c0 .part L_0x55feb9649030, 0, 1;
+L_0x55feb9648c50 .part L_0x55feb9647080, 2, 1;
+L_0x55feb9648d80 .part L_0x55feb9649d10, 2, 1;
+L_0x55feb9648eb0 .part L_0x55feb9649030, 1, 1;
+L_0x55feb9649030 .concat8 [ 1 1 1 0], L_0x55feb9647ea0, L_0x55feb9648460, L_0x55feb9648be0;
+L_0x55feb96495c0 .part L_0x55feb9647080, 3, 1;
+L_0x55feb96496f0 .part L_0x55feb9649d10, 3, 1;
+L_0x55feb96498b0 .part L_0x55feb9649030, 2, 1;
+L_0x55feb9649ae0 .concat8 [ 1 1 1 1], L_0x55feb9647da0, L_0x55feb96483a0, L_0x55feb9648b20, L_0x55feb9649440;
+L_0x55feb9649bf0 .part L_0x55feb9649030, 2, 1;
+S_0x55feb9613a10 .scope module, "f0" "fulladder" 5 11, 6 1 0, S_0x55feb9613790;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9647ea0 .functor OR 1, L_0x55feb9647a80, L_0x55feb9647c50, C4<0>, C4<0>;
+v0x55feb9614940_0 .net "A", 0 0, L_0x55feb9647f10; 1 drivers
+v0x55feb9614a00_0 .net "B", 0 0, L_0x55feb9648040; 1 drivers
+v0x55feb9614ad0_0 .net "Carry", 0 0, L_0x7fc0ebe900f0; alias, 1 drivers
+v0x55feb9614bd0_0 .net "CarryO", 0 0, L_0x55feb9647ea0; 1 drivers
+v0x55feb9614c70_0 .net "Sum", 0 0, L_0x55feb9647da0; 1 drivers
+v0x55feb9614d60_0 .net "and1", 0 0, L_0x55feb9647a80; 1 drivers
+v0x55feb9614e30_0 .net "and2", 0 0, L_0x55feb9647c50; 1 drivers
+v0x55feb9614f00_0 .net "xor1", 0 0, L_0x55feb9647be0; 1 drivers
+S_0x55feb9613ca0 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb9613a10;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9647a80 .functor AND 1, L_0x55feb9647f10, L_0x55feb9648040, C4<1>, C4<1>;
+L_0x55feb9647be0 .functor XOR 1, L_0x55feb9647f10, L_0x55feb9648040, C4<0>, C4<0>;
+v0x55feb9613f40_0 .net "A", 0 0, L_0x55feb9647f10; alias, 1 drivers
+v0x55feb9614020_0 .net "B", 0 0, L_0x55feb9648040; alias, 1 drivers
+v0x55feb96140e0_0 .net "Carry", 0 0, L_0x55feb9647a80; alias, 1 drivers
+v0x55feb96141b0_0 .net "Sum", 0 0, L_0x55feb9647be0; alias, 1 drivers
+S_0x55feb9614320 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb9613a10;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9647c50 .functor AND 1, L_0x55feb9647be0, L_0x7fc0ebe900f0, C4<1>, C4<1>;
+L_0x55feb9647da0 .functor XOR 1, L_0x55feb9647be0, L_0x7fc0ebe900f0, C4<0>, C4<0>;
+v0x55feb9614590_0 .net "A", 0 0, L_0x55feb9647be0; alias, 1 drivers
+v0x55feb9614660_0 .net "B", 0 0, L_0x7fc0ebe900f0; alias, 1 drivers
+v0x55feb9614700_0 .net "Carry", 0 0, L_0x55feb9647c50; alias, 1 drivers
+v0x55feb96147d0_0 .net "Sum", 0 0, L_0x55feb9647da0; alias, 1 drivers
+S_0x55feb9614ff0 .scope module, "f1" "fulladder" 5 12, 6 1 0, S_0x55feb9613790;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9648460 .functor OR 1, L_0x55feb9648170, L_0x55feb9648250, C4<0>, C4<0>;
+v0x55feb9615ed0_0 .net "A", 0 0, L_0x55feb96484d0; 1 drivers
+v0x55feb9615f90_0 .net "B", 0 0, L_0x55feb9648690; 1 drivers
+v0x55feb9616060_0 .net "Carry", 0 0, L_0x55feb96487c0; 1 drivers
+v0x55feb9616160_0 .net "CarryO", 0 0, L_0x55feb9648460; 1 drivers
+v0x55feb9616200_0 .net "Sum", 0 0, L_0x55feb96483a0; 1 drivers
+v0x55feb96162f0_0 .net "and1", 0 0, L_0x55feb9648170; 1 drivers
+v0x55feb96163c0_0 .net "and2", 0 0, L_0x55feb9648250; 1 drivers
+v0x55feb9616490_0 .net "xor1", 0 0, L_0x55feb96481e0; 1 drivers
+S_0x55feb9615250 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb9614ff0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9648170 .functor AND 1, L_0x55feb96484d0, L_0x55feb9648690, C4<1>, C4<1>;
+L_0x55feb96481e0 .functor XOR 1, L_0x55feb96484d0, L_0x55feb9648690, C4<0>, C4<0>;
+v0x55feb96154d0_0 .net "A", 0 0, L_0x55feb96484d0; alias, 1 drivers
+v0x55feb96155b0_0 .net "B", 0 0, L_0x55feb9648690; alias, 1 drivers
+v0x55feb9615670_0 .net "Carry", 0 0, L_0x55feb9648170; alias, 1 drivers
+v0x55feb9615740_0 .net "Sum", 0 0, L_0x55feb96481e0; alias, 1 drivers
+S_0x55feb96158b0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb9614ff0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9648250 .functor AND 1, L_0x55feb96481e0, L_0x55feb96487c0, C4<1>, C4<1>;
+L_0x55feb96483a0 .functor XOR 1, L_0x55feb96481e0, L_0x55feb96487c0, C4<0>, C4<0>;
+v0x55feb9615b20_0 .net "A", 0 0, L_0x55feb96481e0; alias, 1 drivers
+v0x55feb9615bf0_0 .net "B", 0 0, L_0x55feb96487c0; alias, 1 drivers
+v0x55feb9615c90_0 .net "Carry", 0 0, L_0x55feb9648250; alias, 1 drivers
+v0x55feb9615d60_0 .net "Sum", 0 0, L_0x55feb96483a0; alias, 1 drivers
+S_0x55feb9616580 .scope module, "f2" "fulladder" 5 13, 6 1 0, S_0x55feb9613790;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9648be0 .functor OR 1, L_0x55feb96488f0, L_0x55feb96489d0, C4<0>, C4<0>;
+v0x55feb9617470_0 .net "A", 0 0, L_0x55feb9648c50; 1 drivers
+v0x55feb9617530_0 .net "B", 0 0, L_0x55feb9648d80; 1 drivers
+v0x55feb9617600_0 .net "Carry", 0 0, L_0x55feb9648eb0; 1 drivers
+v0x55feb9617700_0 .net "CarryO", 0 0, L_0x55feb9648be0; 1 drivers
+v0x55feb96177a0_0 .net "Sum", 0 0, L_0x55feb9648b20; 1 drivers
+v0x55feb9617890_0 .net "and1", 0 0, L_0x55feb96488f0; 1 drivers
+v0x55feb9617960_0 .net "and2", 0 0, L_0x55feb96489d0; 1 drivers
+v0x55feb9617a30_0 .net "xor1", 0 0, L_0x55feb9648960; 1 drivers
+S_0x55feb9616810 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb9616580;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96488f0 .functor AND 1, L_0x55feb9648c50, L_0x55feb9648d80, C4<1>, C4<1>;
+L_0x55feb9648960 .functor XOR 1, L_0x55feb9648c50, L_0x55feb9648d80, C4<0>, C4<0>;
+v0x55feb9616a90_0 .net "A", 0 0, L_0x55feb9648c50; alias, 1 drivers
+v0x55feb9616b50_0 .net "B", 0 0, L_0x55feb9648d80; alias, 1 drivers
+v0x55feb9616c10_0 .net "Carry", 0 0, L_0x55feb96488f0; alias, 1 drivers
+v0x55feb9616ce0_0 .net "Sum", 0 0, L_0x55feb9648960; alias, 1 drivers
+S_0x55feb9616e50 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb9616580;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96489d0 .functor AND 1, L_0x55feb9648960, L_0x55feb9648eb0, C4<1>, C4<1>;
+L_0x55feb9648b20 .functor XOR 1, L_0x55feb9648960, L_0x55feb9648eb0, C4<0>, C4<0>;
+v0x55feb96170c0_0 .net "A", 0 0, L_0x55feb9648960; alias, 1 drivers
+v0x55feb9617190_0 .net "B", 0 0, L_0x55feb9648eb0; alias, 1 drivers
+v0x55feb9617230_0 .net "Carry", 0 0, L_0x55feb96489d0; alias, 1 drivers
+v0x55feb9617300_0 .net "Sum", 0 0, L_0x55feb9648b20; alias, 1 drivers
+S_0x55feb9617b20 .scope module, "f3" "fulladder" 5 14, 6 1 0, S_0x55feb9613790;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x55feb9649500 .functor OR 1, L_0x55feb9649120, L_0x55feb96492f0, C4<0>, C4<0>;
+v0x55feb9618a00_0 .net "A", 0 0, L_0x55feb96495c0; 1 drivers
+v0x55feb9618ac0_0 .net "B", 0 0, L_0x55feb96496f0; 1 drivers
+v0x55feb9618b90_0 .net "Carry", 0 0, L_0x55feb96498b0; 1 drivers
+v0x55feb9618c90_0 .net "CarryO", 0 0, L_0x55feb9649500; alias, 1 drivers
+v0x55feb9618d30_0 .net "Sum", 0 0, L_0x55feb9649440; 1 drivers
+v0x55feb9618e20_0 .net "and1", 0 0, L_0x55feb9649120; 1 drivers
+v0x55feb9618ef0_0 .net "and2", 0 0, L_0x55feb96492f0; 1 drivers
+v0x55feb9618fc0_0 .net "xor1", 0 0, L_0x55feb9649280; 1 drivers
+S_0x55feb9617d80 .scope module, "h1" "halfadder" 6 8, 7 1 0, S_0x55feb9617b20;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb9649120 .functor AND 1, L_0x55feb96495c0, L_0x55feb96496f0, C4<1>, C4<1>;
+L_0x55feb9649280 .functor XOR 1, L_0x55feb96495c0, L_0x55feb96496f0, C4<0>, C4<0>;
+v0x55feb9618000_0 .net "A", 0 0, L_0x55feb96495c0; alias, 1 drivers
+v0x55feb96180e0_0 .net "B", 0 0, L_0x55feb96496f0; alias, 1 drivers
+v0x55feb96181a0_0 .net "Carry", 0 0, L_0x55feb9649120; alias, 1 drivers
+v0x55feb9618270_0 .net "Sum", 0 0, L_0x55feb9649280; alias, 1 drivers
+S_0x55feb96183e0 .scope module, "h2" "halfadder" 6 9, 7 1 0, S_0x55feb9617b20;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x55feb96492f0 .functor AND 1, L_0x55feb9649280, L_0x55feb96498b0, C4<1>, C4<1>;
+L_0x55feb9649440 .functor XOR 1, L_0x55feb9649280, L_0x55feb96498b0, C4<0>, C4<0>;
+v0x55feb9618650_0 .net "A", 0 0, L_0x55feb9649280; alias, 1 drivers
+v0x55feb9618720_0 .net "B", 0 0, L_0x55feb96498b0; alias, 1 drivers
+v0x55feb96187c0_0 .net "Carry", 0 0, L_0x55feb96492f0; alias, 1 drivers
+v0x55feb9618890_0 .net "Sum", 0 0, L_0x55feb9649440; alias, 1 drivers
+S_0x55feb961e660 .scope module, "opCd" "opCode" 3 18, 13 1 0, S_0x55feb95e4330;
+ .timescale 0 0;
+ .port_info 0 /INPUT 3 "A";
+ .port_info 1 /OUTPUT 8 "opCode";
+L_0x55feb96311b0 .functor NOT 1, L_0x55feb9631270, C4<0>, C4<0>, C4<0>;
+L_0x55feb9631360 .functor NOT 1, L_0x55feb9631420, C4<0>, C4<0>, C4<0>;
+L_0x55feb9631510 .functor NOT 1, L_0x55feb96315b0, C4<0>, C4<0>, C4<0>;
+L_0x55feb9631650 .functor AND 1, L_0x55feb9631750, L_0x55feb9631870, C4<1>, C4<1>;
+L_0x55feb9631960 .functor AND 1, L_0x55feb96311b0, L_0x55feb9631a20, C4<1>, C4<1>;
+L_0x55feb9631c60 .functor AND 1, L_0x55feb9631d60, L_0x55feb9631360, C4<1>, C4<1>;
+L_0x55feb9631e50 .functor AND 1, L_0x55feb96311b0, L_0x55feb9631360, C4<1>, C4<1>;
+L_0x55feb9631ec0 .functor AND 1, L_0x55feb9631e50, L_0x55feb9631510, C4<1>, C4<1>;
+L_0x55feb9632020 .functor AND 1, L_0x55feb9631e50, L_0x55feb9632090, C4<1>, C4<1>;
+L_0x55feb96321d0 .functor AND 1, L_0x55feb9631960, L_0x55feb9631510, C4<1>, C4<1>;
+L_0x55feb96322f0 .functor AND 1, L_0x55feb9631960, L_0x55feb9632360, C4<1>, C4<1>;
+L_0x55feb9632400 .functor AND 1, L_0x55feb9631c60, L_0x55feb9631510, C4<1>, C4<1>;
+L_0x55feb9632530 .functor AND 1, L_0x55feb9631c60, L_0x55feb96325a0, C4<1>, C4<1>;
+L_0x55feb96326a0 .functor AND 1, L_0x55feb9631650, L_0x55feb9631510, C4<1>, C4<1>;
+L_0x55feb96324c0 .functor AND 1, L_0x55feb9631650, L_0x55feb9632b50, C4<1>, C4<1>;
+v0x55feb961e7f0_0 .net "A", 2 0, v0x55feb9628b10_0; alias, 1 drivers
+v0x55feb961e8f0_0 .net *"_ivl_1", 0 0, L_0x55feb9631270; 1 drivers
+v0x55feb961e9d0_0 .net *"_ivl_11", 0 0, L_0x55feb9631a20; 1 drivers
+v0x55feb961eac0_0 .net *"_ivl_13", 0 0, L_0x55feb9631d60; 1 drivers
+v0x55feb961eba0_0 .net *"_ivl_14", 0 0, L_0x55feb9631ec0; 1 drivers
+v0x55feb961ec80_0 .net *"_ivl_16", 0 0, L_0x55feb9632020; 1 drivers
+v0x55feb961ed60_0 .net *"_ivl_19", 0 0, L_0x55feb9632090; 1 drivers
+v0x55feb961ee40_0 .net *"_ivl_20", 0 0, L_0x55feb96321d0; 1 drivers
+v0x55feb961ef20_0 .net *"_ivl_22", 0 0, L_0x55feb96322f0; 1 drivers
+v0x55feb961f000_0 .net *"_ivl_25", 0 0, L_0x55feb9632360; 1 drivers
+v0x55feb961f0e0_0 .net *"_ivl_26", 0 0, L_0x55feb9632400; 1 drivers
+v0x55feb961f1c0_0 .net *"_ivl_28", 0 0, L_0x55feb9632530; 1 drivers
+v0x55feb961f2a0_0 .net *"_ivl_3", 0 0, L_0x55feb9631420; 1 drivers
+v0x55feb961f380_0 .net *"_ivl_31", 0 0, L_0x55feb96325a0; 1 drivers
+v0x55feb961f460_0 .net *"_ivl_32", 0 0, L_0x55feb96326a0; 1 drivers
+v0x55feb961f540_0 .net *"_ivl_34", 0 0, L_0x55feb96324c0; 1 drivers
+v0x55feb961f620_0 .net *"_ivl_38", 0 0, L_0x55feb9632b50; 1 drivers
+v0x55feb961f700_0 .net *"_ivl_5", 0 0, L_0x55feb96315b0; 1 drivers
+v0x55feb961f7e0_0 .net *"_ivl_7", 0 0, L_0x55feb9631750; 1 drivers
+v0x55feb961f8c0_0 .net *"_ivl_9", 0 0, L_0x55feb9631870; 1 drivers
+v0x55feb961f9a0_0 .net "and1", 0 0, L_0x55feb9631650; 1 drivers
+v0x55feb961fa60_0 .net "and2", 0 0, L_0x55feb9631960; 1 drivers
+v0x55feb961fb20_0 .net "and3", 0 0, L_0x55feb9631c60; 1 drivers
+v0x55feb961fbe0_0 .net "and4", 0 0, L_0x55feb9631e50; 1 drivers
+v0x55feb961fca0_0 .net "notA", 0 0, L_0x55feb96311b0; 1 drivers
+v0x55feb961fd60_0 .net "notB", 0 0, L_0x55feb9631360; 1 drivers
+v0x55feb961fe20_0 .net "notC", 0 0, L_0x55feb9631510; 1 drivers
+v0x55feb961fee0_0 .net "opCode", 7 0, L_0x55feb9632790; alias, 1 drivers
+L_0x55feb9631270 .part v0x55feb9628b10_0, 2, 1;
+L_0x55feb9631420 .part v0x55feb9628b10_0, 1, 1;
+L_0x55feb96315b0 .part v0x55feb9628b10_0, 0, 1;
+L_0x55feb9631750 .part v0x55feb9628b10_0, 2, 1;
+L_0x55feb9631870 .part v0x55feb9628b10_0, 1, 1;
+L_0x55feb9631a20 .part v0x55feb9628b10_0, 1, 1;
+L_0x55feb9631d60 .part v0x55feb9628b10_0, 2, 1;
+L_0x55feb9632090 .part v0x55feb9628b10_0, 0, 1;
+L_0x55feb9632360 .part v0x55feb9628b10_0, 0, 1;
+L_0x55feb96325a0 .part v0x55feb9628b10_0, 0, 1;
+LS_0x55feb9632790_0_0 .concat8 [ 1 1 1 1], L_0x55feb9631ec0, L_0x55feb9632020, L_0x55feb96321d0, L_0x55feb96322f0;
+LS_0x55feb9632790_0_4 .concat8 [ 1 1 1 1], L_0x55feb9632400, L_0x55feb9632530, L_0x55feb96326a0, L_0x55feb96324c0;
+L_0x55feb9632790 .concat8 [ 4 4 0 0], LS_0x55feb9632790_0_0, LS_0x55feb9632790_0_4;
+L_0x55feb9632b50 .part v0x55feb9628b10_0, 0, 1;
+S_0x55feb95e9100 .scope module, "BinaryToBCD" "BinaryToBCD" 14 1;
+ .timescale 0 0;
+ .port_info 0 /INPUT 8 "binary";
+ .port_info 1 /OUTPUT 12 "bcd";
+L_0x7fc0ebe90450 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc0ebe90498 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9656ba0 .functor AND 1, L_0x7fc0ebe90450, L_0x7fc0ebe90498, C4<1>, C4<1>;
+L_0x7fc0ebe904e0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc0ebe90528 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9656d00 .functor AND 1, L_0x7fc0ebe904e0, L_0x7fc0ebe90528, C4<1>, C4<1>;
+L_0x7fc0ebe90570 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc0ebe905b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9656e60 .functor AND 1, L_0x7fc0ebe90570, L_0x7fc0ebe905b8, C4<1>, C4<1>;
+L_0x7fc0ebe90600 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc0ebe90648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb9656f70 .functor AND 1, L_0x7fc0ebe90600, L_0x7fc0ebe90648, C4<1>, C4<1>;
+L_0x7fc0ebe90690 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x55feb965cb60 .functor OR 1, L_0x55feb965cc20, L_0x7fc0ebe90690, C4<0>, C4<0>;
+v0x55feb962f5e0_0 .net/2u *"_ivl_0", 0 0, L_0x7fc0ebe90450; 1 drivers
+v0x55feb962f6e0_0 .net/2u *"_ivl_10", 0 0, L_0x7fc0ebe90570; 1 drivers
+v0x55feb962f7c0_0 .net/2u *"_ivl_12", 0 0, L_0x7fc0ebe905b8; 1 drivers
+v0x55feb962f880_0 .net *"_ivl_132", 0 0, L_0x55feb965cb60; 1 drivers
+v0x55feb962f960_0 .net *"_ivl_136", 0 0, L_0x55feb965cc20; 1 drivers
+v0x55feb962fa40_0 .net/2u *"_ivl_137", 0 0, L_0x7fc0ebe90690; 1 drivers
+v0x55feb962fb20_0 .net *"_ivl_14", 0 0, L_0x55feb9656f70; 1 drivers
+o0x7fc0ebee4e38 .functor BUFZ 1, C4; HiZ drive
+; Elide local net with no drivers, v0x55feb962fc00_0 name=_ivl_141
+v0x55feb962fce0_0 .net/2u *"_ivl_16", 0 0, L_0x7fc0ebe90600; 1 drivers
+v0x55feb962fe50_0 .net/2u *"_ivl_18", 0 0, L_0x7fc0ebe90648; 1 drivers
+v0x55feb962ff30_0 .net/2u *"_ivl_2", 0 0, L_0x7fc0ebe90498; 1 drivers
+v0x55feb9630010_0 .net/2u *"_ivl_4", 0 0, L_0x7fc0ebe904e0; 1 drivers
+v0x55feb96300f0_0 .net/2u *"_ivl_6", 0 0, L_0x7fc0ebe90528; 1 drivers
+v0x55feb96301d0_0 .net *"_ivl_8", 0 0, L_0x55feb9656e60; 1 drivers
+v0x55feb96302b0_0 .net "bcd", 11 0, L_0x55feb965c780; 1 drivers
+o0x7fc0ebee4fb8 .functor BUFZ 8, C4; HiZ drive
+v0x55feb9630390_0 .net "binary", 7 0, o0x7fc0ebee4fb8; 0 drivers
+v0x55feb9630470_0 .net "dab1", 3 0, L_0x55feb9657990; 1 drivers
+v0x55feb9630660_0 .net "dab2", 3 0, L_0x55feb96586e0; 1 drivers
+v0x55feb9630740_0 .net "dab3", 3 0, L_0x55feb9659470; 1 drivers
+v0x55feb9630820_0 .net "dab4", 3 0, L_0x55feb965cfb0; 1 drivers
+v0x55feb9630900_0 .net "dab5", 3 0, L_0x55feb965ab90; 1 drivers
+v0x55feb96309e0_0 .net "empty1", 0 0, L_0x55feb9656ba0; 1 drivers
+v0x55feb9630a80_0 .net "empty2", 0 0, L_0x55feb9656d00; 1 drivers
+L_0x55feb9657760 .part o0x7fc0ebee4fb8, 7, 1;
+L_0x55feb9657800 .part o0x7fc0ebee4fb8, 6, 1;
+L_0x55feb96578f0 .part o0x7fc0ebee4fb8, 5, 1;
+L_0x55feb9657990 .concat8 [ 1 1 1 1], L_0x55feb96573a0, L_0x55feb96574d0, L_0x55feb9657630, L_0x55feb96576a0;
+L_0x55feb96582f0 .part L_0x55feb9657990, 1, 1;
+L_0x55feb9658390 .part L_0x55feb9657990, 2, 1;
+L_0x55feb9658430 .part L_0x55feb9657990, 3, 1;
+L_0x55feb9658560 .part o0x7fc0ebee4fb8, 4, 1;
+L_0x55feb96586e0 .concat8 [ 1 1 1 1], L_0x55feb9657f30, L_0x55feb9658060, L_0x55feb96581c0, L_0x55feb9658230;
+L_0x55feb9659000 .part L_0x55feb96586e0, 1, 1;
+L_0x55feb9659190 .part L_0x55feb96586e0, 2, 1;
+L_0x55feb9659230 .part L_0x55feb96586e0, 3, 1;
+L_0x55feb96593d0 .part o0x7fc0ebee4fb8, 3, 1;
+L_0x55feb9659470 .concat8 [ 1 1 1 1], L_0x55feb9658be0, L_0x55feb9658d10, L_0x55feb9658e70, L_0x55feb9658ee0;
+L_0x55feb9659d30 .part L_0x55feb9657990, 0, 1;
+L_0x55feb9659dd0 .part L_0x55feb96586e0, 0, 1;
+L_0x55feb9659f00 .part L_0x55feb9659470, 0, 1;
+L_0x55feb965a7d0 .part L_0x55feb9659470, 1, 1;
+L_0x55feb965a9a0 .part L_0x55feb9659470, 2, 1;
+L_0x55feb965aa40 .part L_0x55feb9659470, 3, 1;
+L_0x55feb965a900 .part o0x7fc0ebee4fb8, 2, 1;
+L_0x55feb965ab90 .concat8 [ 1 1 1 1], L_0x55feb965a3b0, L_0x55feb965a4e0, L_0x55feb965a640, L_0x55feb965a6b0;
+L_0x55feb965b500 .part L_0x55feb965cfb0, 1, 1;
+L_0x55feb965b630 .part L_0x55feb965cfb0, 2, 1;
+L_0x55feb965b7a0 .part L_0x55feb965cfb0, 3, 1;
+L_0x55feb965b840 .part L_0x55feb965ab90, 0, 1;
+L_0x55feb965c1f0 .part L_0x55feb965ab90, 1, 1;
+L_0x55feb965c320 .part L_0x55feb965ab90, 2, 1;
+L_0x55feb965c540 .part L_0x55feb965ab90, 3, 1;
+L_0x55feb965c5e0 .part o0x7fc0ebee4fb8, 1, 1;
+LS_0x55feb965c780_0_0 .concat8 [ 1 1 1 1], L_0x55feb965cb60, L_0x55feb965c0d0, L_0x55feb965c060, L_0x55feb965bf00;
+LS_0x55feb965c780_0_4 .concat8 [ 1 1 1 1], L_0x55feb965bdd0, L_0x55feb965b3e0, L_0x55feb965b370, L_0x55feb965b210;
+LS_0x55feb965c780_0_8 .concat8 [ 1 1 1 1], L_0x55feb965b0e0, L_0x55feb9659970, L_0x55feb9656f70, L_0x55feb9656e60;
+L_0x55feb965c780 .concat8 [ 4 4 4 0], LS_0x55feb965c780_0_0, LS_0x55feb965c780_0_4, LS_0x55feb965c780_0_8;
+L_0x55feb965cc20 .part o0x7fc0ebee4fb8, 0, 1;
+L_0x55feb965cfb0 .concat [ 1 1 1 1], o0x7fc0ebee4e38, L_0x55feb9659aa0, L_0x55feb9659c00, L_0x55feb9659c70;
+S_0x55feb9628cf0 .scope module, "d1t" "dabble" 14 14, 15 1 0, S_0x55feb95e9100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x55feb9657080 .functor XOR 1, L_0x55feb9656ba0, L_0x55feb96578f0, C4<0>, C4<0>;
+L_0x55feb96570f0 .functor NOR 1, L_0x55feb9656ba0, L_0x55feb9657760, C4<0>, C4<0>;
+L_0x55feb9657160 .functor XOR 1, L_0x55feb9656ba0, L_0x55feb9657800, C4<0>, C4<0>;
+L_0x55feb96571d0 .functor NOR 1, L_0x55feb9657080, L_0x55feb9657160, C4<0>, C4<0>;
+L_0x55feb9657290 .functor NOR 1, L_0x55feb96571d0, L_0x55feb96570f0, C4<0>, C4<0>;
+L_0x55feb96573a0 .functor BUF 1, L_0x55feb9657290, C4<0>, C4<0>, C4<0>;
+L_0x55feb9657460 .functor OR 1, L_0x55feb9657080, L_0x55feb96570f0, C4<0>, C4<0>;
+L_0x55feb96574d0 .functor NOR 1, L_0x55feb9657460, L_0x55feb9657800, C4<0>, C4<0>;
+L_0x55feb9657630 .functor AND 1, L_0x55feb9657460, L_0x55feb9657160, C4<1>, C4<1>;
+L_0x55feb96576a0 .functor XOR 1, L_0x55feb9657290, L_0x55feb96578f0, C4<0>, C4<0>;
+v0x55feb9629010_0 .net "A", 0 0, L_0x55feb9656ba0; alias, 1 drivers
+v0x55feb96290f0_0 .net "B", 0 0, L_0x55feb9657760; 1 drivers
+v0x55feb96291b0_0 .net "C", 0 0, L_0x55feb9657800; 1 drivers
+v0x55feb9629250_0 .net "D", 0 0, L_0x55feb96578f0; 1 drivers
+v0x55feb9629310_0 .net "E", 0 0, L_0x55feb96576a0; 1 drivers
+v0x55feb9629420_0 .net "X", 0 0, L_0x55feb96573a0; 1 drivers
+v0x55feb96294e0_0 .net "Y", 0 0, L_0x55feb96574d0; 1 drivers
+v0x55feb96295a0_0 .net "Z", 0 0, L_0x55feb9657630; 1 drivers
+v0x55feb9629660_0 .net "nor1", 0 0, L_0x55feb96570f0; 1 drivers
+v0x55feb9629720_0 .net "nor2", 0 0, L_0x55feb96571d0; 1 drivers
+v0x55feb96297e0_0 .net "nor3", 0 0, L_0x55feb9657290; 1 drivers
+v0x55feb96298a0_0 .net "or1", 0 0, L_0x55feb9657460; 1 drivers
+v0x55feb9629960_0 .net "xor1", 0 0, L_0x55feb9657080; 1 drivers
+v0x55feb9629a20_0 .net "xor2", 0 0, L_0x55feb9657160; 1 drivers
+S_0x55feb9629be0 .scope module, "d2u" "dabble" 14 23, 15 1 0, S_0x55feb95e9100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x55feb9657b70 .functor XOR 1, L_0x55feb96582f0, L_0x55feb9658560, C4<0>, C4<0>;
+L_0x55feb9657be0 .functor NOR 1, L_0x55feb96582f0, L_0x55feb9658390, C4<0>, C4<0>;
+L_0x55feb9657ca0 .functor XOR 1, L_0x55feb96582f0, L_0x55feb9658430, C4<0>, C4<0>;
+L_0x55feb9657d10 .functor NOR 1, L_0x55feb9657b70, L_0x55feb9657ca0, C4<0>, C4<0>;
+L_0x55feb9657e20 .functor NOR 1, L_0x55feb9657d10, L_0x55feb9657be0, C4<0>, C4<0>;
+L_0x55feb9657f30 .functor BUF 1, L_0x55feb9657e20, C4<0>, C4<0>, C4<0>;
+L_0x55feb9657ff0 .functor OR 1, L_0x55feb9657b70, L_0x55feb9657be0, C4<0>, C4<0>;
+L_0x55feb9658060 .functor NOR 1, L_0x55feb9657ff0, L_0x55feb9658430, C4<0>, C4<0>;
+L_0x55feb96581c0 .functor AND 1, L_0x55feb9657ff0, L_0x55feb9657ca0, C4<1>, C4<1>;
+L_0x55feb9658230 .functor XOR 1, L_0x55feb9657e20, L_0x55feb9658560, C4<0>, C4<0>;
+v0x55feb9629eb0_0 .net "A", 0 0, L_0x55feb96582f0; 1 drivers
+v0x55feb9629f70_0 .net "B", 0 0, L_0x55feb9658390; 1 drivers
+v0x55feb962a030_0 .net "C", 0 0, L_0x55feb9658430; 1 drivers
+v0x55feb962a0d0_0 .net "D", 0 0, L_0x55feb9658560; 1 drivers
+v0x55feb962a190_0 .net "E", 0 0, L_0x55feb9658230; 1 drivers
+v0x55feb962a2a0_0 .net "X", 0 0, L_0x55feb9657f30; 1 drivers
+v0x55feb962a360_0 .net "Y", 0 0, L_0x55feb9658060; 1 drivers
+v0x55feb962a420_0 .net "Z", 0 0, L_0x55feb96581c0; 1 drivers
+v0x55feb962a4e0_0 .net "nor1", 0 0, L_0x55feb9657be0; 1 drivers
+v0x55feb962a5a0_0 .net "nor2", 0 0, L_0x55feb9657d10; 1 drivers
+v0x55feb962a660_0 .net "nor3", 0 0, L_0x55feb9657e20; 1 drivers
+v0x55feb962a720_0 .net "or1", 0 0, L_0x55feb9657ff0; 1 drivers
+v0x55feb962a7e0_0 .net "xor1", 0 0, L_0x55feb9657b70; 1 drivers
+v0x55feb962a8a0_0 .net "xor2", 0 0, L_0x55feb9657ca0; 1 drivers
+S_0x55feb962aa60 .scope module, "d3v" "dabble" 14 32, 15 1 0, S_0x55feb95e9100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x55feb9658820 .functor XOR 1, L_0x55feb9659000, L_0x55feb96593d0, C4<0>, C4<0>;
+L_0x55feb9658890 .functor NOR 1, L_0x55feb9659000, L_0x55feb9659190, C4<0>, C4<0>;
+L_0x55feb9658950 .functor XOR 1, L_0x55feb9659000, L_0x55feb9659230, C4<0>, C4<0>;
+L_0x55feb96589c0 .functor NOR 1, L_0x55feb9658820, L_0x55feb9658950, C4<0>, C4<0>;
+L_0x55feb9658ad0 .functor NOR 1, L_0x55feb96589c0, L_0x55feb9658890, C4<0>, C4<0>;
+L_0x55feb9658be0 .functor BUF 1, L_0x55feb9658ad0, C4<0>, C4<0>, C4<0>;
+L_0x55feb9658ca0 .functor OR 1, L_0x55feb9658820, L_0x55feb9658890, C4<0>, C4<0>;
+L_0x55feb9658d10 .functor NOR 1, L_0x55feb9658ca0, L_0x55feb9659230, C4<0>, C4<0>;
+L_0x55feb9658e70 .functor AND 1, L_0x55feb9658ca0, L_0x55feb9658950, C4<1>, C4<1>;
+L_0x55feb9658ee0 .functor XOR 1, L_0x55feb9658ad0, L_0x55feb96593d0, C4<0>, C4<0>;
+v0x55feb962ad10_0 .net "A", 0 0, L_0x55feb9659000; 1 drivers
+v0x55feb962add0_0 .net "B", 0 0, L_0x55feb9659190; 1 drivers
+v0x55feb962ae90_0 .net "C", 0 0, L_0x55feb9659230; 1 drivers
+v0x55feb962af30_0 .net "D", 0 0, L_0x55feb96593d0; 1 drivers
+v0x55feb962aff0_0 .net "E", 0 0, L_0x55feb9658ee0; 1 drivers
+v0x55feb962b100_0 .net "X", 0 0, L_0x55feb9658be0; 1 drivers
+v0x55feb962b1c0_0 .net "Y", 0 0, L_0x55feb9658d10; 1 drivers
+v0x55feb962b280_0 .net "Z", 0 0, L_0x55feb9658e70; 1 drivers
+v0x55feb962b340_0 .net "nor1", 0 0, L_0x55feb9658890; 1 drivers
+v0x55feb962b490_0 .net "nor2", 0 0, L_0x55feb96589c0; 1 drivers
+v0x55feb962b550_0 .net "nor3", 0 0, L_0x55feb9658ad0; 1 drivers
+v0x55feb962b610_0 .net "or1", 0 0, L_0x55feb9658ca0; 1 drivers
+v0x55feb962b6d0_0 .net "xor1", 0 0, L_0x55feb9658820; 1 drivers
+v0x55feb962b790_0 .net "xor2", 0 0, L_0x55feb9658950; 1 drivers
+S_0x55feb962b950 .scope module, "d4w" "dabble" 14 41, 15 1 0, S_0x55feb95e9100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x55feb9659360 .functor XOR 1, L_0x55feb9656d00, L_0x55feb9659f00, C4<0>, C4<0>;
+L_0x55feb9659630 .functor NOR 1, L_0x55feb9656d00, L_0x55feb9659d30, C4<0>, C4<0>;
+L_0x55feb9659730 .functor XOR 1, L_0x55feb9656d00, L_0x55feb9659dd0, C4<0>, C4<0>;
+L_0x55feb96597a0 .functor NOR 1, L_0x55feb9659360, L_0x55feb9659730, C4<0>, C4<0>;
+L_0x55feb9659860 .functor NOR 1, L_0x55feb96597a0, L_0x55feb9659630, C4<0>, C4<0>;
+L_0x55feb9659970 .functor BUF 1, L_0x55feb9659860, C4<0>, C4<0>, C4<0>;
+L_0x55feb9659a30 .functor OR 1, L_0x55feb9659360, L_0x55feb9659630, C4<0>, C4<0>;
+L_0x55feb9659aa0 .functor NOR 1, L_0x55feb9659a30, L_0x55feb9659dd0, C4<0>, C4<0>;
+L_0x55feb9659c00 .functor AND 1, L_0x55feb9659a30, L_0x55feb9659730, C4<1>, C4<1>;
+L_0x55feb9659c70 .functor XOR 1, L_0x55feb9659860, L_0x55feb9659f00, C4<0>, C4<0>;
+v0x55feb962bc00_0 .net "A", 0 0, L_0x55feb9656d00; alias, 1 drivers
+v0x55feb962bce0_0 .net "B", 0 0, L_0x55feb9659d30; 1 drivers
+v0x55feb962bda0_0 .net "C", 0 0, L_0x55feb9659dd0; 1 drivers
+v0x55feb962be40_0 .net "D", 0 0, L_0x55feb9659f00; 1 drivers
+v0x55feb962bf00_0 .net "E", 0 0, L_0x55feb9659c70; 1 drivers
+v0x55feb962c010_0 .net "X", 0 0, L_0x55feb9659970; 1 drivers
+v0x55feb962c0d0_0 .net "Y", 0 0, L_0x55feb9659aa0; 1 drivers
+v0x55feb962c190_0 .net "Z", 0 0, L_0x55feb9659c00; 1 drivers
+v0x55feb962c250_0 .net "nor1", 0 0, L_0x55feb9659630; 1 drivers
+v0x55feb962c3a0_0 .net "nor2", 0 0, L_0x55feb96597a0; 1 drivers
+v0x55feb962c460_0 .net "nor3", 0 0, L_0x55feb9659860; 1 drivers
+v0x55feb962c520_0 .net "or1", 0 0, L_0x55feb9659a30; 1 drivers
+v0x55feb962c5e0_0 .net "xor1", 0 0, L_0x55feb9659360; 1 drivers
+v0x55feb962c6a0_0 .net "xor2", 0 0, L_0x55feb9659730; 1 drivers
+S_0x55feb962c860 .scope module, "d5x" "dabble" 14 50, 15 1 0, S_0x55feb95e9100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x55feb9659ff0 .functor XOR 1, L_0x55feb965a7d0, L_0x55feb965a900, C4<0>, C4<0>;
+L_0x55feb965a060 .functor NOR 1, L_0x55feb965a7d0, L_0x55feb965a9a0, C4<0>, C4<0>;
+L_0x55feb965a120 .functor XOR 1, L_0x55feb965a7d0, L_0x55feb965aa40, C4<0>, C4<0>;
+L_0x55feb965a190 .functor NOR 1, L_0x55feb9659ff0, L_0x55feb965a120, C4<0>, C4<0>;
+L_0x55feb965a2a0 .functor NOR 1, L_0x55feb965a190, L_0x55feb965a060, C4<0>, C4<0>;
+L_0x55feb965a3b0 .functor BUF 1, L_0x55feb965a2a0, C4<0>, C4<0>, C4<0>;
+L_0x55feb965a470 .functor OR 1, L_0x55feb9659ff0, L_0x55feb965a060, C4<0>, C4<0>;
+L_0x55feb965a4e0 .functor NOR 1, L_0x55feb965a470, L_0x55feb965aa40, C4<0>, C4<0>;
+L_0x55feb965a640 .functor AND 1, L_0x55feb965a470, L_0x55feb965a120, C4<1>, C4<1>;
+L_0x55feb965a6b0 .functor XOR 1, L_0x55feb965a2a0, L_0x55feb965a900, C4<0>, C4<0>;
+v0x55feb962cb60_0 .net "A", 0 0, L_0x55feb965a7d0; 1 drivers
+v0x55feb962cc40_0 .net "B", 0 0, L_0x55feb965a9a0; 1 drivers
+v0x55feb962cd00_0 .net "C", 0 0, L_0x55feb965aa40; 1 drivers
+v0x55feb962cda0_0 .net "D", 0 0, L_0x55feb965a900; 1 drivers
+v0x55feb962ce60_0 .net "E", 0 0, L_0x55feb965a6b0; 1 drivers
+v0x55feb962cf70_0 .net "X", 0 0, L_0x55feb965a3b0; 1 drivers
+v0x55feb962d030_0 .net "Y", 0 0, L_0x55feb965a4e0; 1 drivers
+v0x55feb962d0f0_0 .net "Z", 0 0, L_0x55feb965a640; 1 drivers
+v0x55feb962d1b0_0 .net "nor1", 0 0, L_0x55feb965a060; 1 drivers
+v0x55feb962d300_0 .net "nor2", 0 0, L_0x55feb965a190; 1 drivers
+v0x55feb962d3c0_0 .net "nor3", 0 0, L_0x55feb965a2a0; 1 drivers
+v0x55feb962d480_0 .net "or1", 0 0, L_0x55feb965a470; 1 drivers
+v0x55feb962d540_0 .net "xor1", 0 0, L_0x55feb9659ff0; 1 drivers
+v0x55feb962d600_0 .net "xor2", 0 0, L_0x55feb965a120; 1 drivers
+S_0x55feb962d7c0 .scope module, "d6y" "dabble" 14 59, 15 1 0, S_0x55feb95e9100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x55feb965aae0 .functor XOR 1, L_0x55feb965b500, L_0x55feb965b840, C4<0>, C4<0>;
+L_0x55feb965ad90 .functor NOR 1, L_0x55feb965b500, L_0x55feb965b630, C4<0>, C4<0>;
+L_0x55feb965ae50 .functor XOR 1, L_0x55feb965b500, L_0x55feb965b7a0, C4<0>, C4<0>;
+L_0x55feb965aec0 .functor NOR 1, L_0x55feb965aae0, L_0x55feb965ae50, C4<0>, C4<0>;
+L_0x55feb965afd0 .functor NOR 1, L_0x55feb965aec0, L_0x55feb965ad90, C4<0>, C4<0>;
+L_0x55feb965b0e0 .functor BUF 1, L_0x55feb965afd0, C4<0>, C4<0>, C4<0>;
+L_0x55feb965b1a0 .functor OR 1, L_0x55feb965aae0, L_0x55feb965ad90, C4<0>, C4<0>;
+L_0x55feb965b210 .functor NOR 1, L_0x55feb965b1a0, L_0x55feb965b7a0, C4<0>, C4<0>;
+L_0x55feb965b370 .functor AND 1, L_0x55feb965b1a0, L_0x55feb965ae50, C4<1>, C4<1>;
+L_0x55feb965b3e0 .functor XOR 1, L_0x55feb965afd0, L_0x55feb965b840, C4<0>, C4<0>;
+v0x55feb962da70_0 .net "A", 0 0, L_0x55feb965b500; 1 drivers
+v0x55feb962db50_0 .net "B", 0 0, L_0x55feb965b630; 1 drivers
+v0x55feb962dc10_0 .net "C", 0 0, L_0x55feb965b7a0; 1 drivers
+v0x55feb962dcb0_0 .net "D", 0 0, L_0x55feb965b840; 1 drivers
+v0x55feb962dd70_0 .net "E", 0 0, L_0x55feb965b3e0; 1 drivers
+v0x55feb962de80_0 .net "X", 0 0, L_0x55feb965b0e0; 1 drivers
+v0x55feb962df40_0 .net "Y", 0 0, L_0x55feb965b210; 1 drivers
+v0x55feb962e000_0 .net "Z", 0 0, L_0x55feb965b370; 1 drivers
+v0x55feb962e0c0_0 .net "nor1", 0 0, L_0x55feb965ad90; 1 drivers
+v0x55feb962e210_0 .net "nor2", 0 0, L_0x55feb965aec0; 1 drivers
+v0x55feb962e2d0_0 .net "nor3", 0 0, L_0x55feb965afd0; 1 drivers
+v0x55feb962e390_0 .net "or1", 0 0, L_0x55feb965b1a0; 1 drivers
+v0x55feb962e450_0 .net "xor1", 0 0, L_0x55feb965aae0; 1 drivers
+v0x55feb962e510_0 .net "xor2", 0 0, L_0x55feb965ae50; 1 drivers
+S_0x55feb962e6d0 .scope module, "d7z" "dabble" 14 68, 15 1 0, S_0x55feb95e9100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x55feb965ba10 .functor XOR 1, L_0x55feb965c1f0, L_0x55feb965c5e0, C4<0>, C4<0>;
+L_0x55feb965ba80 .functor NOR 1, L_0x55feb965c1f0, L_0x55feb965c320, C4<0>, C4<0>;
+L_0x55feb965bb40 .functor XOR 1, L_0x55feb965c1f0, L_0x55feb965c540, C4<0>, C4<0>;
+L_0x55feb965bbb0 .functor NOR 1, L_0x55feb965ba10, L_0x55feb965bb40, C4<0>, C4<0>;
+L_0x55feb965bcc0 .functor NOR 1, L_0x55feb965bbb0, L_0x55feb965ba80, C4<0>, C4<0>;
+L_0x55feb965bdd0 .functor BUF 1, L_0x55feb965bcc0, C4<0>, C4<0>, C4<0>;
+L_0x55feb965be90 .functor OR 1, L_0x55feb965ba10, L_0x55feb965ba80, C4<0>, C4<0>;
+L_0x55feb965bf00 .functor NOR 1, L_0x55feb965be90, L_0x55feb965c540, C4<0>, C4<0>;
+L_0x55feb965c060 .functor AND 1, L_0x55feb965be90, L_0x55feb965bb40, C4<1>, C4<1>;
+L_0x55feb965c0d0 .functor XOR 1, L_0x55feb965bcc0, L_0x55feb965c5e0, C4<0>, C4<0>;
+v0x55feb962e980_0 .net "A", 0 0, L_0x55feb965c1f0; 1 drivers
+v0x55feb962ea60_0 .net "B", 0 0, L_0x55feb965c320; 1 drivers
+v0x55feb962eb20_0 .net "C", 0 0, L_0x55feb965c540; 1 drivers
+v0x55feb962ebc0_0 .net "D", 0 0, L_0x55feb965c5e0; 1 drivers
+v0x55feb962ec80_0 .net "E", 0 0, L_0x55feb965c0d0; 1 drivers
+v0x55feb962ed90_0 .net "X", 0 0, L_0x55feb965bdd0; 1 drivers
+v0x55feb962ee50_0 .net "Y", 0 0, L_0x55feb965bf00; 1 drivers
+v0x55feb962ef10_0 .net "Z", 0 0, L_0x55feb965c060; 1 drivers
+v0x55feb962efd0_0 .net "nor1", 0 0, L_0x55feb965ba80; 1 drivers
+v0x55feb962f120_0 .net "nor2", 0 0, L_0x55feb965bbb0; 1 drivers
+v0x55feb962f1e0_0 .net "nor3", 0 0, L_0x55feb965bcc0; 1 drivers
+v0x55feb962f2a0_0 .net "or1", 0 0, L_0x55feb965be90; 1 drivers
+v0x55feb962f360_0 .net "xor1", 0 0, L_0x55feb965ba10; 1 drivers
+v0x55feb962f420_0 .net "xor2", 0 0, L_0x55feb965bb40; 1 drivers
+S_0x55feb95e66d0 .scope module, "selector" "selector" 16 1;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 3 "opCodeA";
+ .port_info 3 /INPUT 2 "select";
+ .port_info 4 /INPUT 12 "ALUY";
+ .port_info 5 /OUTPUT 12 "Y";
+o0x7fc0ebee5138 .functor BUFZ 4, C4; HiZ drive
+v0x55feb9630b70_0 .net "A", 3 0, o0x7fc0ebee5138; 0 drivers
+o0x7fc0ebee5168 .functor BUFZ 12, C4; HiZ drive
+v0x55feb9630c70_0 .net "ALUY", 11 0, o0x7fc0ebee5168; 0 drivers
+o0x7fc0ebee5198 .functor BUFZ 4, C4; HiZ drive
+v0x55feb9630d50_0 .net "B", 3 0, o0x7fc0ebee5198; 0 drivers
+v0x55feb9630e10_0 .var "Y", 11 0;
+o0x7fc0ebee51f8 .functor BUFZ 3, C4; HiZ drive
+v0x55feb9630ef0_0 .net "opCodeA", 2 0, o0x7fc0ebee51f8; 0 drivers
+o0x7fc0ebee5228 .functor BUFZ 2, C4; HiZ drive
+v0x55feb9630fd0_0 .net "select", 1 0, o0x7fc0ebee5228; 0 drivers
+E_0x55feb94c1460/0 .event edge, v0x55feb9630fd0_0, v0x55feb9630b70_0, v0x55feb9630d50_0, v0x55feb9630ef0_0;
+E_0x55feb94c1460/1 .event edge, v0x55feb9630c70_0;
+E_0x55feb94c1460 .event/or E_0x55feb94c1460/0, E_0x55feb94c1460/1;
+ .scope S_0x55feb95444b0;
+T_0 ;
+ %vpi_call 2 20 "$dumpfile", "ALU.vcd" {0 0 0};
+ %vpi_call 2 21 "$dumpvars" {0 0 0};
+ %pushi/vec4 15, 0, 4;
+ %store/vec4 v0x55feb9628710_0, 0, 4;
+ %pushi/vec4 1, 0, 4;
+ %store/vec4 v0x55feb96287f0_0, 0, 4;
+ %pushi/vec4 0, 0, 1;
+ %store/vec4 v0x55feb96288b0_0, 0, 1;
+ %pushi/vec4 1, 0, 3;
+ %store/vec4 v0x55feb9628b10_0, 0, 3;
+ %delay 5, 0;
+ %vpi_call 2 23 "$finish" {0 0 0};
+ %end;
+ .thread T_0;
+ .scope S_0x55feb95e66d0;
+T_1 ;
+ %wait E_0x55feb94c1460;
+ %load/vec4 v0x55feb9630fd0_0;
+ %dup/vec4;
+ %pushi/vec4 0, 0, 2;
+ %cmp/u;
+ %jmp/1 T_1.0, 6;
+ %dup/vec4;
+ %pushi/vec4 1, 0, 2;
+ %cmp/u;
+ %jmp/1 T_1.1, 6;
+ %dup/vec4;
+ %pushi/vec4 2, 0, 2;
+ %cmp/u;
+ %jmp/1 T_1.2, 6;
+ %dup/vec4;
+ %pushi/vec4 3, 0, 2;
+ %cmp/u;
+ %jmp/1 T_1.3, 6;
+ %load/vec4 v0x55feb9630c70_0;
+ %store/vec4 v0x55feb9630e10_0, 0, 12;
+ %jmp T_1.5;
+T_1.0 ;
+ %pushi/vec4 0, 0, 8;
+ %load/vec4 v0x55feb9630b70_0;
+ %concat/vec4; draw_concat_vec4
+ %store/vec4 v0x55feb9630e10_0, 0, 12;
+ %jmp T_1.5;
+T_1.1 ;
+ %pushi/vec4 0, 0, 8;
+ %load/vec4 v0x55feb9630d50_0;
+ %concat/vec4; draw_concat_vec4
+ %store/vec4 v0x55feb9630e10_0, 0, 12;
+ %jmp T_1.5;
+T_1.2 ;
+ %pushi/vec4 0, 0, 9;
+ %load/vec4 v0x55feb9630ef0_0;
+ %concat/vec4; draw_concat_vec4
+ %store/vec4 v0x55feb9630e10_0, 0, 12;
+ %jmp T_1.5;
+T_1.3 ;
+ %load/vec4 v0x55feb9630c70_0;
+ %store/vec4 v0x55feb9630e10_0, 0, 12;
+ %jmp T_1.5;
+T_1.5 ;
+ %pop/vec4 1;
+ %jmp T_1;
+ .thread T_1, $push;
+# The file index is used to find the file name in the following table.
+:file_names 17;
+ "N/A";
+ "";
+ "ALUtb.v";
+ "ALU.v";
+ "arithmeticUnit.v";
+ "addition.v";
+ "fulladder.v";
+ "halfadder.v";
+ "subtraction.v";
+ "fullsubtraction.v";
+ "halfsubtraction.v";
+ "logicUnit.v";
+ "multiplier.v";
+ "opCode.v";
+ "BinaryToBCD.v";
+ "dabble.v";
+ "selector.v";
diff --git a/spartanTest/ALU.v b/tangTest/ALU.v
similarity index 100%
rename from spartanTest/ALU.v
rename to tangTest/ALU.v
diff --git a/tangTest/ALU.vcd b/tangTest/ALU.vcd
new file mode 100644
index 0000000..da9dee9
--- /dev/null
+++ b/tangTest/ALU.vcd
@@ -0,0 +1,1086 @@
+$date
+ Mon Jan 20 01:32:32 2025
+$end
+$version
+ Icarus Verilog
+$end
+$timescale
+ 1s
+$end
+$scope module ALUtb $end
+$var wire 1 ! overflow $end
+$var wire 8 " Y [7:0] $end
+$var wire 1 # CarryOUT $end
+$var reg 4 $ A [3:0] $end
+$var reg 4 % B [3:0] $end
+$var reg 1 & CarryIN $end
+$var reg 3 ' opCodeA [2:0] $end
+$scope module uut $end
+$var wire 4 ( A [3:0] $end
+$var wire 4 ) B [3:0] $end
+$var wire 1 & CarryIN $end
+$var wire 3 * opCodeA [2:0] $end
+$var wire 4 + wireY [3:0] $end
+$var wire 8 , wireM [7:0] $end
+$var wire 4 - wireLA [3:0] $end
+$var wire 4 . sub_Y [3:0] $end
+$var wire 4 / resultX [3:0] $end
+$var wire 4 0 resultO [3:0] $end
+$var wire 4 1 resultA [3:0] $end
+$var wire 1 ! overflow $end
+$var wire 8 2 opwireM [7:0] $end
+$var wire 8 3 opCode8 [7:0] $end
+$var wire 4 4 lUOutput2 [3:0] $end
+$var wire 4 5 lUOutput1 [3:0] $end
+$var wire 4 6 add_Y [3:0] $end
+$var wire 4 7 aUtemp2 [3:0] $end
+$var wire 4 8 aUtemp1 [3:0] $end
+$var wire 8 9 Y [7:0] $end
+$var wire 1 # CarryOUT $end
+$scope module aU $end
+$var wire 4 : A [3:0] $end
+$var wire 4 ; B [3:0] $end
+$var wire 1 & CarryIN $end
+$var wire 1 # CarryOUT $end
+$var wire 2 < opCode [1:0] $end
+$var wire 1 ! overflow $end
+$var wire 1 = tempCAdd $end
+$var wire 1 > tempCSub $end
+$var wire 1 ? tempoverflow $end
+$var wire 4 @ sub_Y [3:0] $end
+$var wire 4 A subY [3:0] $end
+$var wire 4 B add_Y [3:0] $end
+$var wire 4 C addY [3:0] $end
+$var wire 1 D CarryOUTSUB $end
+$var wire 1 E CarryOUTADD $end
+$scope module a1 $end
+$var wire 4 F A [3:0] $end
+$var wire 4 G B [3:0] $end
+$var wire 1 & CarryIN $end
+$var wire 1 ? overflow $end
+$var wire 4 H Y [3:0] $end
+$var wire 1 E CarryOUT $end
+$var wire 3 I Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 J A $end
+$var wire 1 K B $end
+$var wire 1 & Carry $end
+$var wire 1 L CarryO $end
+$var wire 1 M xor1 $end
+$var wire 1 N and2 $end
+$var wire 1 O and1 $end
+$var wire 1 P Sum $end
+$scope module h1 $end
+$var wire 1 J A $end
+$var wire 1 K B $end
+$var wire 1 O Carry $end
+$var wire 1 M Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 M A $end
+$var wire 1 & B $end
+$var wire 1 N Carry $end
+$var wire 1 P Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 Q A $end
+$var wire 1 R B $end
+$var wire 1 S Carry $end
+$var wire 1 T CarryO $end
+$var wire 1 U xor1 $end
+$var wire 1 V and2 $end
+$var wire 1 W and1 $end
+$var wire 1 X Sum $end
+$scope module h1 $end
+$var wire 1 Q A $end
+$var wire 1 R B $end
+$var wire 1 W Carry $end
+$var wire 1 U Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 U A $end
+$var wire 1 S B $end
+$var wire 1 V Carry $end
+$var wire 1 X Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 Y A $end
+$var wire 1 Z B $end
+$var wire 1 [ Carry $end
+$var wire 1 \ CarryO $end
+$var wire 1 ] xor1 $end
+$var wire 1 ^ and2 $end
+$var wire 1 _ and1 $end
+$var wire 1 ` Sum $end
+$scope module h1 $end
+$var wire 1 Y A $end
+$var wire 1 Z B $end
+$var wire 1 _ Carry $end
+$var wire 1 ] Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 ] A $end
+$var wire 1 [ B $end
+$var wire 1 ^ Carry $end
+$var wire 1 ` Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 a A $end
+$var wire 1 b B $end
+$var wire 1 c Carry $end
+$var wire 1 E CarryO $end
+$var wire 1 d xor1 $end
+$var wire 1 e and2 $end
+$var wire 1 f and1 $end
+$var wire 1 g Sum $end
+$scope module h1 $end
+$var wire 1 a A $end
+$var wire 1 b B $end
+$var wire 1 f Carry $end
+$var wire 1 d Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 d A $end
+$var wire 1 c B $end
+$var wire 1 e Carry $end
+$var wire 1 g Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module s1 $end
+$var wire 4 h A [3:0] $end
+$var wire 4 i B [3:0] $end
+$var wire 1 & BorrowIN $end
+$var wire 4 j tempB [3:0] $end
+$var wire 4 k Y [3:0] $end
+$var wire 1 D BorrowOUT $end
+$scope module f0 $end
+$var wire 1 l A $end
+$var wire 1 m B $end
+$var wire 1 & BorrowIN $end
+$var wire 1 n BorrowOut $end
+$var wire 1 o tempD $end
+$var wire 1 p tempB2 $end
+$var wire 1 q tempB1 $end
+$var wire 1 r Difference $end
+$scope module hf1 $end
+$var wire 1 l A $end
+$var wire 1 m B $end
+$var wire 1 q Borrow $end
+$var wire 1 o Difference $end
+$var wire 1 s notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 o A $end
+$var wire 1 & B $end
+$var wire 1 p Borrow $end
+$var wire 1 r Difference $end
+$var wire 1 t notA $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 u A $end
+$var wire 1 v B $end
+$var wire 1 w BorrowIN $end
+$var wire 1 x BorrowOut $end
+$var wire 1 y tempD $end
+$var wire 1 z tempB2 $end
+$var wire 1 { tempB1 $end
+$var wire 1 | Difference $end
+$scope module hf1 $end
+$var wire 1 u A $end
+$var wire 1 v B $end
+$var wire 1 { Borrow $end
+$var wire 1 y Difference $end
+$var wire 1 } notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 y A $end
+$var wire 1 w B $end
+$var wire 1 z Borrow $end
+$var wire 1 | Difference $end
+$var wire 1 ~ notA $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 !" A $end
+$var wire 1 "" B $end
+$var wire 1 #" BorrowIN $end
+$var wire 1 $" BorrowOut $end
+$var wire 1 %" tempD $end
+$var wire 1 &" tempB2 $end
+$var wire 1 '" tempB1 $end
+$var wire 1 (" Difference $end
+$scope module hf1 $end
+$var wire 1 !" A $end
+$var wire 1 "" B $end
+$var wire 1 '" Borrow $end
+$var wire 1 %" Difference $end
+$var wire 1 )" notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 %" A $end
+$var wire 1 #" B $end
+$var wire 1 &" Borrow $end
+$var wire 1 (" Difference $end
+$var wire 1 *" notA $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 +" A $end
+$var wire 1 ," B $end
+$var wire 1 -" BorrowIN $end
+$var wire 1 D BorrowOut $end
+$var wire 1 ." tempD $end
+$var wire 1 /" tempB2 $end
+$var wire 1 0" tempB1 $end
+$var wire 1 1" Difference $end
+$scope module hf1 $end
+$var wire 1 +" A $end
+$var wire 1 ," B $end
+$var wire 1 0" Borrow $end
+$var wire 1 ." Difference $end
+$var wire 1 2" notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 ." A $end
+$var wire 1 -" B $end
+$var wire 1 /" Borrow $end
+$var wire 1 1" Difference $end
+$var wire 1 3" notA $end
+$upscope $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module lU $end
+$var wire 4 4" A [3:0] $end
+$var wire 4 5" B [3:0] $end
+$var wire 3 6" opCode [2:0] $end
+$var wire 4 7" xor1 [3:0] $end
+$var wire 4 8" resultX [3:0] $end
+$var wire 4 9" resultO [3:0] $end
+$var wire 4 :" resultA [3:0] $end
+$var wire 4 ;" or1 [3:0] $end
+$var wire 4 <" and1 [3:0] $end
+$upscope $end
+$scope module mU $end
+$var wire 4 =" A [3:0] $end
+$var wire 4 >" B [3:0] $end
+$var wire 1 ?" overflow2 $end
+$var wire 1 @" overflow1 $end
+$var wire 1 A" overflow0 $end
+$var wire 4 B" b0 [3:0] $end
+$var wire 4 C" a2 [3:0] $end
+$var wire 4 D" a1 [3:0] $end
+$var wire 4 E" a0 [3:0] $end
+$var wire 8 F" Y [7:0] $end
+$var wire 5 G" S2 [4:0] $end
+$var wire 5 H" S1 [4:0] $end
+$var wire 5 I" S0 [4:0] $end
+$scope module add0 $end
+$var wire 4 J" A [3:0] $end
+$var wire 4 K" B [3:0] $end
+$var wire 1 L" CarryIN $end
+$var wire 1 A" overflow $end
+$var wire 4 M" Y [3:0] $end
+$var wire 1 N" CarryOUT $end
+$var wire 3 O" Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 P" A $end
+$var wire 1 Q" B $end
+$var wire 1 L" Carry $end
+$var wire 1 R" CarryO $end
+$var wire 1 S" xor1 $end
+$var wire 1 T" and2 $end
+$var wire 1 U" and1 $end
+$var wire 1 V" Sum $end
+$scope module h1 $end
+$var wire 1 P" A $end
+$var wire 1 Q" B $end
+$var wire 1 U" Carry $end
+$var wire 1 S" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 S" A $end
+$var wire 1 L" B $end
+$var wire 1 T" Carry $end
+$var wire 1 V" Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 W" A $end
+$var wire 1 X" B $end
+$var wire 1 Y" Carry $end
+$var wire 1 Z" CarryO $end
+$var wire 1 [" xor1 $end
+$var wire 1 \" and2 $end
+$var wire 1 ]" and1 $end
+$var wire 1 ^" Sum $end
+$scope module h1 $end
+$var wire 1 W" A $end
+$var wire 1 X" B $end
+$var wire 1 ]" Carry $end
+$var wire 1 [" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 [" A $end
+$var wire 1 Y" B $end
+$var wire 1 \" Carry $end
+$var wire 1 ^" Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 _" A $end
+$var wire 1 `" B $end
+$var wire 1 a" Carry $end
+$var wire 1 b" CarryO $end
+$var wire 1 c" xor1 $end
+$var wire 1 d" and2 $end
+$var wire 1 e" and1 $end
+$var wire 1 f" Sum $end
+$scope module h1 $end
+$var wire 1 _" A $end
+$var wire 1 `" B $end
+$var wire 1 e" Carry $end
+$var wire 1 c" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 c" A $end
+$var wire 1 a" B $end
+$var wire 1 d" Carry $end
+$var wire 1 f" Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 g" A $end
+$var wire 1 h" B $end
+$var wire 1 i" Carry $end
+$var wire 1 N" CarryO $end
+$var wire 1 j" xor1 $end
+$var wire 1 k" and2 $end
+$var wire 1 l" and1 $end
+$var wire 1 m" Sum $end
+$scope module h1 $end
+$var wire 1 g" A $end
+$var wire 1 h" B $end
+$var wire 1 l" Carry $end
+$var wire 1 j" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 j" A $end
+$var wire 1 i" B $end
+$var wire 1 k" Carry $end
+$var wire 1 m" Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module add1 $end
+$var wire 4 n" A [3:0] $end
+$var wire 4 o" B [3:0] $end
+$var wire 1 p" CarryIN $end
+$var wire 1 @" overflow $end
+$var wire 4 q" Y [3:0] $end
+$var wire 1 r" CarryOUT $end
+$var wire 3 s" Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 t" A $end
+$var wire 1 u" B $end
+$var wire 1 p" Carry $end
+$var wire 1 v" CarryO $end
+$var wire 1 w" xor1 $end
+$var wire 1 x" and2 $end
+$var wire 1 y" and1 $end
+$var wire 1 z" Sum $end
+$scope module h1 $end
+$var wire 1 t" A $end
+$var wire 1 u" B $end
+$var wire 1 y" Carry $end
+$var wire 1 w" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 w" A $end
+$var wire 1 p" B $end
+$var wire 1 x" Carry $end
+$var wire 1 z" Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 {" A $end
+$var wire 1 |" B $end
+$var wire 1 }" Carry $end
+$var wire 1 ~" CarryO $end
+$var wire 1 !# xor1 $end
+$var wire 1 "# and2 $end
+$var wire 1 ## and1 $end
+$var wire 1 $# Sum $end
+$scope module h1 $end
+$var wire 1 {" A $end
+$var wire 1 |" B $end
+$var wire 1 ## Carry $end
+$var wire 1 !# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 !# A $end
+$var wire 1 }" B $end
+$var wire 1 "# Carry $end
+$var wire 1 $# Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 %# A $end
+$var wire 1 B $end
+$var wire 1 '# Carry $end
+$var wire 1 (# CarryO $end
+$var wire 1 )# xor1 $end
+$var wire 1 *# and2 $end
+$var wire 1 +# and1 $end
+$var wire 1 ,# Sum $end
+$scope module h1 $end
+$var wire 1 %# A $end
+$var wire 1 B $end
+$var wire 1 +# Carry $end
+$var wire 1 )# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 )# A $end
+$var wire 1 '# B $end
+$var wire 1 *# Carry $end
+$var wire 1 ,# Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 -# A $end
+$var wire 1 .# B $end
+$var wire 1 /# Carry $end
+$var wire 1 r" CarryO $end
+$var wire 1 0# xor1 $end
+$var wire 1 1# and2 $end
+$var wire 1 2# and1 $end
+$var wire 1 3# Sum $end
+$scope module h1 $end
+$var wire 1 -# A $end
+$var wire 1 .# B $end
+$var wire 1 2# Carry $end
+$var wire 1 0# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 0# A $end
+$var wire 1 /# B $end
+$var wire 1 1# Carry $end
+$var wire 1 3# Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module add2 $end
+$var wire 4 4# A [3:0] $end
+$var wire 4 5# B [3:0] $end
+$var wire 1 6# CarryIN $end
+$var wire 1 ?" overflow $end
+$var wire 4 7# Y [3:0] $end
+$var wire 1 8# CarryOUT $end
+$var wire 3 9# Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 :# A $end
+$var wire 1 ;# B $end
+$var wire 1 6# Carry $end
+$var wire 1 <# CarryO $end
+$var wire 1 =# xor1 $end
+$var wire 1 ># and2 $end
+$var wire 1 ?# and1 $end
+$var wire 1 @# Sum $end
+$scope module h1 $end
+$var wire 1 :# A $end
+$var wire 1 ;# B $end
+$var wire 1 ?# Carry $end
+$var wire 1 =# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 =# A $end
+$var wire 1 6# B $end
+$var wire 1 ># Carry $end
+$var wire 1 @# Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 A# A $end
+$var wire 1 B# B $end
+$var wire 1 C# Carry $end
+$var wire 1 D# CarryO $end
+$var wire 1 E# xor1 $end
+$var wire 1 F# and2 $end
+$var wire 1 G# and1 $end
+$var wire 1 H# Sum $end
+$scope module h1 $end
+$var wire 1 A# A $end
+$var wire 1 B# B $end
+$var wire 1 G# Carry $end
+$var wire 1 E# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 E# A $end
+$var wire 1 C# B $end
+$var wire 1 F# Carry $end
+$var wire 1 H# Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 I# A $end
+$var wire 1 J# B $end
+$var wire 1 K# Carry $end
+$var wire 1 L# CarryO $end
+$var wire 1 M# xor1 $end
+$var wire 1 N# and2 $end
+$var wire 1 O# and1 $end
+$var wire 1 P# Sum $end
+$scope module h1 $end
+$var wire 1 I# A $end
+$var wire 1 J# B $end
+$var wire 1 O# Carry $end
+$var wire 1 M# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 M# A $end
+$var wire 1 K# B $end
+$var wire 1 N# Carry $end
+$var wire 1 P# Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 Q# A $end
+$var wire 1 R# B $end
+$var wire 1 S# Carry $end
+$var wire 1 8# CarryO $end
+$var wire 1 T# xor1 $end
+$var wire 1 U# and2 $end
+$var wire 1 V# and1 $end
+$var wire 1 W# Sum $end
+$scope module h1 $end
+$var wire 1 Q# A $end
+$var wire 1 R# B $end
+$var wire 1 V# Carry $end
+$var wire 1 T# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 T# A $end
+$var wire 1 S# B $end
+$var wire 1 U# Carry $end
+$var wire 1 W# Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module opCd $end
+$var wire 3 X# A [2:0] $end
+$var wire 1 Y# and1 $end
+$var wire 1 Z# and2 $end
+$var wire 1 [# and3 $end
+$var wire 1 \# and4 $end
+$var wire 1 ]# notA $end
+$var wire 1 ^# notB $end
+$var wire 1 _# notC $end
+$var wire 8 `# opCode [7:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module BinaryToBCD $end
+$var wire 8 a# binary [7:0] $end
+$var wire 1 b# empty1 $end
+$var wire 1 c# empty2 $end
+$var wire 4 d# dab5 [3:0] $end
+$var wire 4 e# dab4 [3:0] $end
+$var wire 4 f# dab3 [3:0] $end
+$var wire 4 g# dab2 [3:0] $end
+$var wire 4 h# dab1 [3:0] $end
+$var wire 12 i# bcd [11:0] $end
+$scope module d1t $end
+$var wire 1 b# A $end
+$var wire 1 j# B $end
+$var wire 1 k# C $end
+$var wire 1 l# D $end
+$var wire 1 m# E $end
+$var wire 1 n# X $end
+$var wire 1 o# Y $end
+$var wire 1 p# Z $end
+$var wire 1 q# nor1 $end
+$var wire 1 r# nor2 $end
+$var wire 1 s# nor3 $end
+$var wire 1 t# or1 $end
+$var wire 1 u# xor1 $end
+$var wire 1 v# xor2 $end
+$upscope $end
+$scope module d2u $end
+$var wire 1 w# A $end
+$var wire 1 x# B $end
+$var wire 1 y# C $end
+$var wire 1 z# D $end
+$var wire 1 {# E $end
+$var wire 1 |# X $end
+$var wire 1 }# Y $end
+$var wire 1 ~# Z $end
+$var wire 1 !$ nor1 $end
+$var wire 1 "$ nor2 $end
+$var wire 1 #$ nor3 $end
+$var wire 1 $$ or1 $end
+$var wire 1 %$ xor1 $end
+$var wire 1 &$ xor2 $end
+$upscope $end
+$scope module d3v $end
+$var wire 1 '$ A $end
+$var wire 1 ($ B $end
+$var wire 1 )$ C $end
+$var wire 1 *$ D $end
+$var wire 1 +$ E $end
+$var wire 1 ,$ X $end
+$var wire 1 -$ Y $end
+$var wire 1 .$ Z $end
+$var wire 1 /$ nor1 $end
+$var wire 1 0$ nor2 $end
+$var wire 1 1$ nor3 $end
+$var wire 1 2$ or1 $end
+$var wire 1 3$ xor1 $end
+$var wire 1 4$ xor2 $end
+$upscope $end
+$scope module d4w $end
+$var wire 1 c# A $end
+$var wire 1 5$ B $end
+$var wire 1 6$ C $end
+$var wire 1 7$ D $end
+$var wire 1 8$ E $end
+$var wire 1 9$ X $end
+$var wire 1 :$ Y $end
+$var wire 1 ;$ Z $end
+$var wire 1 <$ nor1 $end
+$var wire 1 =$ nor2 $end
+$var wire 1 >$ nor3 $end
+$var wire 1 ?$ or1 $end
+$var wire 1 @$ xor1 $end
+$var wire 1 A$ xor2 $end
+$upscope $end
+$scope module d5x $end
+$var wire 1 B$ A $end
+$var wire 1 C$ B $end
+$var wire 1 D$ C $end
+$var wire 1 E$ D $end
+$var wire 1 F$ E $end
+$var wire 1 G$ X $end
+$var wire 1 H$ Y $end
+$var wire 1 I$ Z $end
+$var wire 1 J$ nor1 $end
+$var wire 1 K$ nor2 $end
+$var wire 1 L$ nor3 $end
+$var wire 1 M$ or1 $end
+$var wire 1 N$ xor1 $end
+$var wire 1 O$ xor2 $end
+$upscope $end
+$scope module d6y $end
+$var wire 1 P$ A $end
+$var wire 1 Q$ B $end
+$var wire 1 R$ C $end
+$var wire 1 S$ D $end
+$var wire 1 T$ E $end
+$var wire 1 U$ X $end
+$var wire 1 V$ Y $end
+$var wire 1 W$ Z $end
+$var wire 1 X$ nor1 $end
+$var wire 1 Y$ nor2 $end
+$var wire 1 Z$ nor3 $end
+$var wire 1 [$ or1 $end
+$var wire 1 \$ xor1 $end
+$var wire 1 ]$ xor2 $end
+$upscope $end
+$scope module d7z $end
+$var wire 1 ^$ A $end
+$var wire 1 _$ B $end
+$var wire 1 `$ C $end
+$var wire 1 a$ D $end
+$var wire 1 b$ E $end
+$var wire 1 c$ X $end
+$var wire 1 d$ Y $end
+$var wire 1 e$ Z $end
+$var wire 1 f$ nor1 $end
+$var wire 1 g$ nor2 $end
+$var wire 1 h$ nor3 $end
+$var wire 1 i$ or1 $end
+$var wire 1 j$ xor1 $end
+$var wire 1 k$ xor2 $end
+$upscope $end
+$upscope $end
+$scope module selector $end
+$var wire 4 l$ A [3:0] $end
+$var wire 12 m$ ALUY [11:0] $end
+$var wire 4 n$ B [3:0] $end
+$var wire 3 o$ opCodeA [2:0] $end
+$var wire 2 p$ select [1:0] $end
+$var reg 12 q$ Y [11:0] $end
+$upscope $end
+$enddefinitions $end
+#0
+$dumpvars
+bz q$
+bz p$
+bz o$
+bz n$
+bz m$
+bz l$
+xk$
+xj$
+xi$
+xh$
+xg$
+xf$
+xe$
+xd$
+xc$
+xb$
+za$
+x`$
+x_$
+x^$
+x]$
+x\$
+x[$
+xZ$
+xY$
+xX$
+xW$
+xV$
+xU$
+xT$
+xS$
+xR$
+xQ$
+xP$
+xO$
+xN$
+xM$
+xL$
+xK$
+xJ$
+xI$
+xH$
+xG$
+xF$
+zE$
+xD$
+xC$
+xB$
+xA$
+x@$
+x?$
+x>$
+x=$
+x<$
+x;$
+x:$
+x9$
+x8$
+x7$
+x6$
+x5$
+x4$
+x3$
+x2$
+x1$
+x0$
+x/$
+x.$
+x-$
+x,$
+x+$
+z*$
+x)$
+x($
+x'$
+x&$
+x%$
+x$$
+x#$
+x"$
+x!$
+x~#
+x}#
+x|#
+x{#
+zz#
+xy#
+xx#
+xw#
+xv#
+xu#
+xt#
+xs#
+xr#
+xq#
+xp#
+xo#
+xn#
+xm#
+zl#
+zk#
+zj#
+b0xxxxxxxxxx i#
+bx h#
+bx g#
+bx f#
+bxz e#
+bx d#
+0c#
+0b#
+bz a#
+b10 `#
+0_#
+1^#
+1]#
+1\#
+0[#
+0Z#
+0Y#
+b1 X#
+0W#
+0V#
+0U#
+0T#
+0S#
+0R#
+0Q#
+0P#
+0O#
+0N#
+0M#
+0L#
+0K#
+0J#
+0I#
+0H#
+0G#
+0F#
+0E#
+0D#
+0C#
+0B#
+0A#
+1@#
+0?#
+0>#
+1=#
+0<#
+1;#
+0:#
+b0 9#
+08#
+b1 7#
+06#
+b1 5#
+b0 4#
+03#
+02#
+01#
+00#
+0/#
+0.#
+0-#
+0,#
+0+#
+0*#
+0)#
+0(#
+0'#
+0
+0%#
+1$#
+0##
+0"#
+1!#
+0~"
+0}"
+1|"
+0{"
+1z"
+0y"
+0x"
+1w"
+0v"
+1u"
+0t"
+b0 s"
+0r"
+b11 q"
+0p"
+b11 o"
+b0 n"
+0m"
+0l"
+0k"
+0j"
+0i"
+0h"
+0g"
+1f"
+0e"
+0d"
+1c"
+0b"
+0a"
+1`"
+0_"
+1^"
+0]"
+0\"
+1["
+0Z"
+0Y"
+1X"
+0W"
+1V"
+0U"
+0T"
+1S"
+0R"
+1Q"
+0P"
+b0 O"
+0N"
+b111 M"
+0L"
+b111 K"
+b0 J"
+b111 I"
+b11 H"
+b1 G"
+b1111 F"
+b0 E"
+b0 D"
+b0 C"
+b111 B"
+0A"
+0@"
+0?"
+b1 >"
+b1111 ="
+b1 <"
+b1111 ;"
+b0 :"
+b0 9"
+b0 8"
+b1110 7"
+b0 6"
+b1 5"
+b1111 4"
+03"
+02"
+11"
+00"
+0/"
+1."
+0-"
+0,"
+1+"
+0*"
+0)"
+1("
+0'"
+0&"
+1%"
+0$"
+0#"
+0""
+1!"
+0~
+0}
+1|
+0{
+0z
+1y
+0x
+0w
+0v
+1u
+1t
+0s
+0r
+0q
+0p
+0o
+0n
+1m
+1l
+b1110 k
+bz000 j
+b1 i
+b1111 h
+0g
+0f
+1e
+1d
+1c
+0b
+1a
+0`
+0_
+1^
+1]
+1\
+1[
+0Z
+1Y
+0X
+0W
+1V
+1U
+1T
+1S
+0R
+1Q
+0P
+1O
+0N
+0M
+1L
+1K
+1J
+b111 I
+b0 H
+b1 G
+b1111 F
+1E
+0D
+b0 C
+b0 B
+b1110 A
+b1110 @
+0?
+0>
+0=
+b10 <
+b1 ;
+b1111 :
+b1110 9
+b0 8
+b1110 7
+b0 6
+b0 5
+b0 4
+b10 3
+b1111 2
+b0 1
+b0 0
+b0 /
+b1110 .
+b1110 -
+b0 ,
+b1110 +
+b1 *
+b1 )
+b1111 (
+b1 '
+0&
+b1 %
+b1111 $
+0#
+b1110 "
+0!
+$end
+#5
diff --git a/tangTest/ALUtb.v b/tangTest/ALUtb.v
new file mode 100644
index 0000000..1bd3f0e
--- /dev/null
+++ b/tangTest/ALUtb.v
@@ -0,0 +1,26 @@
+module ALUtb();
+
+reg [3:0] A,B;
+reg CarryIN;
+reg [2:0] opCodeA;
+wire CarryOUT, overflow;
+wire [7:0] Y;
+
+ALU uut (
+ .A(A),
+ .B(B),
+ .CarryIN(CarryIN),
+ .opCodeA(opCodeA),
+ .CarryOUT(CarryOUT),
+ .overflow(overflow),
+ .Y(Y)
+);
+
+initial begin
+ $dumpfile("ALU.vcd");
+ $dumpvars;
+ A = 4'b1111; B = 4'b0001; CarryIN = 1'b0; opCodeA = 3'b001; #5;
+ $finish;
+end
+
+endmodule
diff --git a/spartanTest/BinaryToBCD.v b/tangTest/BinaryToBCD.v
similarity index 100%
rename from spartanTest/BinaryToBCD.v
rename to tangTest/BinaryToBCD.v
diff --git a/spartanTest/addition.v b/tangTest/addition.v
similarity index 100%
rename from spartanTest/addition.v
rename to tangTest/addition.v
diff --git a/spartanTest/arithmeticUnit.v b/tangTest/arithmeticUnit.v
similarity index 100%
rename from spartanTest/arithmeticUnit.v
rename to tangTest/arithmeticUnit.v
diff --git a/tangTest/bttn b/tangTest/bttn
new file mode 100644
index 0000000..ccf9c4f
--- /dev/null
+++ b/tangTest/bttn
@@ -0,0 +1,2220 @@
+#! /usr/bin/vvp
+:ivl_version "11.0 (stable)";
+:ivl_delay_selection "TYPICAL";
+:vpi_time_precision + 0;
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/system.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_sys.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi";
+S_0x5583bf18cd60 .scope module, "bttnTB" "bttnTB" 2 1;
+ .timescale 0 0;
+v0x5583bf1cf130_0 .var "A", 3 0;
+v0x5583bf1cf210_0 .var "B", 3 0;
+v0x5583bf1cf3e0_0 .net "Y", 11 0, v0x5583bf1ce6e0_0; 1 drivers
+v0x5583bf1cf500_0 .net "led", 1 0, L_0x5583bf1fb060; 1 drivers
+v0x5583bf1cf5c0_0 .var "opCodeA", 2 0;
+v0x5583bf1cf6b0_0 .var "select", 1 0;
+S_0x5583bf0e1ee0 .scope module, "uut" "bttn" 2 9, 3 1 0, S_0x5583bf18cd60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 3 "opCodeA";
+ .port_info 3 /INPUT 2 "select";
+ .port_info 4 /OUTPUT 2 "led";
+ .port_info 5 /OUTPUT 12 "Y";
+v0x5583bf1ceb00_0 .net "A", 3 0, v0x5583bf1cf130_0; 1 drivers
+v0x5583bf1cebe0_0 .net "B", 3 0, v0x5583bf1cf210_0; 1 drivers
+v0x5583bf1ceca0_0 .net "Y", 11 0, v0x5583bf1ce6e0_0; alias, 1 drivers
+v0x5583bf1ced40_0 .net "led", 1 0, L_0x5583bf1fb060; alias, 1 drivers
+v0x5583bf1cee00_0 .net "opCodeA", 2 0, v0x5583bf1cf5c0_0; 1 drivers
+v0x5583bf1cef10_0 .net "select", 1 0, v0x5583bf1cf6b0_0; 1 drivers
+v0x5583bf1cefd0_0 .net "selectY", 11 0, L_0x5583bf1fa930; 1 drivers
+L_0x5583bf1fb060 .concat8 [ 1 1 0 0], L_0x5583bf1d79a0, L_0x5583bf1d7b00;
+S_0x5583bf185fa0 .scope module, "a1" "ALU" 3 11, 4 1 0, S_0x5583bf0e1ee0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /INPUT 3 "opCodeA";
+ .port_info 4 /OUTPUT 12 "bcd";
+ .port_info 5 /OUTPUT 1 "CarryOUT";
+ .port_info 6 /OUTPUT 1 "overflow";
+L_0x5583bf1e9a00 .functor OR 1, L_0x5583bf1e9a70, L_0x5583bf1e9b60, C4<0>, C4<0>;
+L_0x5583bf1e9c50 .functor OR 1, L_0x5583bf1e9cc0, L_0x5583bf1e9e40, C4<0>, C4<0>;
+L_0x5583bf1e9f70 .functor OR 1, L_0x5583bf1e9fe0, L_0x5583bf1ea080, C4<0>, C4<0>;
+L_0x5583bf1ea350 .functor OR 1, L_0x5583bf1ea410, L_0x5583bf1ea500, C4<0>, C4<0>;
+L_0x5583bf1ea5a0 .functor OR 1, L_0x5583bf1ea610, L_0x5583bf1ea7c0, C4<0>, C4<0>;
+L_0x5583bf1ea8b0 .functor OR 1, L_0x5583bf1ea920, L_0x5583bf1eaa90, C4<0>, C4<0>;
+L_0x5583bf1ea750 .functor OR 1, L_0x5583bf1eac10, L_0x5583bf1eadd0, C4<0>, C4<0>;
+L_0x5583bf1eb0a0 .functor OR 1, L_0x5583bf1eb1b0, L_0x5583bf1eb2a0, C4<0>, C4<0>;
+L_0x5583bf1eb440 .functor AND 1, L_0x5583bf1eb000, L_0x5583bf1eb500, C4<1>, C4<1>;
+L_0x5583bf1eb6b0 .functor AND 1, L_0x5583bf1eb720, L_0x5583bf1eb7c0, C4<1>, C4<1>;
+L_0x5583bf1eb980 .functor AND 1, L_0x5583bf1eb9f0, L_0x5583bf1ebae0, C4<1>, C4<1>;
+L_0x5583bf1ebe40 .functor AND 1, L_0x5583bf1ebf70, L_0x5583bf1ec150, C4<1>, C4<1>;
+L_0x5583bf1ec240 .functor AND 1, L_0x5583bf1ec2b0, L_0x5583bf1ec4a0, C4<1>, C4<1>;
+L_0x5583bf1ec590 .functor AND 1, L_0x5583bf1ec680, L_0x5583bf1ec880, C4<1>, C4<1>;
+L_0x5583bf1ebf00 .functor AND 1, L_0x5583bf1ec970, L_0x5583bf1ecb30, C4<1>, C4<1>;
+L_0x5583bf1eca10 .functor AND 1, L_0x5583bf1ecf70, L_0x5583bf1ed010, C4<1>, C4<1>;
+L_0x5583bf1ed240 .functor AND 1, L_0x5583bf1ed2b0, L_0x5583bf1ed3a0, C4<1>, C4<1>;
+L_0x5583bf1ed5e0 .functor AND 1, L_0x5583bf1ed6f0, L_0x5583bf1ed7e0, C4<1>, C4<1>;
+L_0x5583bf1eda30 .functor AND 1, L_0x5583bf1edaa0, L_0x5583bf1edb40, C4<1>, C4<1>;
+L_0x5583bf1edda0 .functor AND 1, L_0x5583bf1ed650, L_0x5583bf1edf10, C4<1>, C4<1>;
+L_0x5583bf1ee180 .functor AND 1, L_0x5583bf1ee1f0, L_0x5583bf1ee2e0, C4<1>, C4<1>;
+L_0x5583bf1ee560 .functor AND 1, L_0x5583bf1ee690, L_0x5583bf1ee780, C4<1>, C4<1>;
+L_0x5583bf1eea10 .functor AND 1, L_0x5583bf1eea80, L_0x5583bf1eeb70, C4<1>, C4<1>;
+L_0x5583bf1ef130 .functor AND 1, L_0x5583bf1ef2c0, L_0x5583bf1ef570, C4<1>, C4<1>;
+L_0x5583bf1ef660 .functor OR 1, L_0x5583bf1ef6d0, L_0x5583bf1ef9e0, C4<0>, C4<0>;
+L_0x5583bf1efb20 .functor OR 1, L_0x5583bf1efc70, L_0x5583bf1eff40, C4<0>, C4<0>;
+L_0x5583bf1f0030 .functor OR 1, L_0x5583bf1f00a0, L_0x5583bf1f0380, C4<0>, C4<0>;
+L_0x5583bf1f07f0 .functor OR 1, L_0x5583bf1f09a0, L_0x5583bf1f0a90, C4<0>, C4<0>;
+L_0x5583bf1f0d90 .functor OR 1, L_0x5583bf1f0e00, L_0x5583bf1f0f40, C4<0>, C4<0>;
+L_0x5583bf1f12a0 .functor OR 1, L_0x5583bf1f1410, L_0x5583bf1f1500, C4<0>, C4<0>;
+L_0x5583bf1f1820 .functor OR 1, L_0x5583bf1f1890, L_0x5583bf1f1a10, C4<0>, C4<0>;
+L_0x5583bf1f1ec0 .functor OR 1, L_0x5583bf1f2090, L_0x5583bf1f23d0, C4<0>, C4<0>;
+L_0x5583bf1f24c0 .functor OR 1, L_0x5583bf1f2530, L_0x5583bf1f28d0, C4<0>, C4<0>;
+L_0x5583bf1f2a10 .functor OR 1, L_0x5583bf1f2ba0, L_0x5583bf1f2f00, C4<0>, C4<0>;
+L_0x5583bf1f2ff0 .functor OR 1, L_0x5583bf1f3060, L_0x5583bf1f3460, C4<0>, C4<0>;
+L_0x5583bf1f3590 .functor OR 1, L_0x5583bf1f2a80, L_0x5583bf1f39c0, C4<0>, C4<0>;
+L_0x7fc2b1a16330 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f3a60 .functor OR 1, L_0x7fc2b1a16330, L_0x5583bf1f3b20, C4<0>, C4<0>;
+L_0x7fc2b1a16378 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f3eb0 .functor OR 1, L_0x7fc2b1a16378, L_0x5583bf1f40b0, C4<0>, C4<0>;
+L_0x7fc2b1a163c0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f41a0 .functor OR 1, L_0x7fc2b1a163c0, L_0x5583bf1f4260, C4<0>, C4<0>;
+L_0x7fc2b1a16408 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f4970 .functor OR 1, L_0x7fc2b1a16408, L_0x5583bf1f4bd0, C4<0>, C4<0>;
+v0x5583bf1c58d0_0 .net "A", 3 0, v0x5583bf1cf130_0; alias, 1 drivers
+v0x5583bf1c5990_0 .net "B", 3 0, v0x5583bf1cf210_0; alias, 1 drivers
+L_0x7fc2b1a166d8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x5583bf1c5a50_0 .net "CarryIN", 0 0, L_0x7fc2b1a166d8; 1 drivers
+v0x5583bf1c5af0_0 .net "CarryOUT", 0 0, L_0x5583bf1d79a0; 1 drivers
+v0x5583bf1c5bc0_0 .net "Y", 7 0, L_0x5583bf1f4600; 1 drivers
+v0x5583bf1c5c60_0 .net *"_ivl_10", 0 0, L_0x5583bf1e9c50; 1 drivers
+v0x5583bf1c5d00_0 .net *"_ivl_101", 0 0, L_0x5583bf1ecf70; 1 drivers
+v0x5583bf1c5de0_0 .net *"_ivl_103", 0 0, L_0x5583bf1ed010; 1 drivers
+v0x5583bf1c5ec0_0 .net *"_ivl_104", 0 0, L_0x5583bf1ed240; 1 drivers
+v0x5583bf1c5fa0_0 .net *"_ivl_107", 0 0, L_0x5583bf1ed2b0; 1 drivers
+v0x5583bf1c6080_0 .net *"_ivl_109", 0 0, L_0x5583bf1ed3a0; 1 drivers
+v0x5583bf1c6160_0 .net *"_ivl_110", 0 0, L_0x5583bf1ed5e0; 1 drivers
+v0x5583bf1c6240_0 .net *"_ivl_113", 0 0, L_0x5583bf1ed6f0; 1 drivers
+v0x5583bf1c6320_0 .net *"_ivl_115", 0 0, L_0x5583bf1ed7e0; 1 drivers
+v0x5583bf1c6400_0 .net *"_ivl_116", 0 0, L_0x5583bf1eda30; 1 drivers
+v0x5583bf1c64e0_0 .net *"_ivl_119", 0 0, L_0x5583bf1edaa0; 1 drivers
+v0x5583bf1c65c0_0 .net *"_ivl_121", 0 0, L_0x5583bf1edb40; 1 drivers
+v0x5583bf1c67b0_0 .net *"_ivl_122", 0 0, L_0x5583bf1edda0; 1 drivers
+v0x5583bf1c6890_0 .net *"_ivl_125", 0 0, L_0x5583bf1ed650; 1 drivers
+v0x5583bf1c6970_0 .net *"_ivl_127", 0 0, L_0x5583bf1edf10; 1 drivers
+v0x5583bf1c6a50_0 .net *"_ivl_128", 0 0, L_0x5583bf1ee180; 1 drivers
+v0x5583bf1c6b30_0 .net *"_ivl_13", 0 0, L_0x5583bf1e9cc0; 1 drivers
+v0x5583bf1c6c10_0 .net *"_ivl_131", 0 0, L_0x5583bf1ee1f0; 1 drivers
+v0x5583bf1c6cf0_0 .net *"_ivl_133", 0 0, L_0x5583bf1ee2e0; 1 drivers
+v0x5583bf1c6dd0_0 .net *"_ivl_134", 0 0, L_0x5583bf1ee560; 1 drivers
+v0x5583bf1c6eb0_0 .net *"_ivl_137", 0 0, L_0x5583bf1ee690; 1 drivers
+v0x5583bf1c6f90_0 .net *"_ivl_139", 0 0, L_0x5583bf1ee780; 1 drivers
+v0x5583bf1c7070_0 .net *"_ivl_140", 0 0, L_0x5583bf1eea10; 1 drivers
+v0x5583bf1c7150_0 .net *"_ivl_143", 0 0, L_0x5583bf1eea80; 1 drivers
+v0x5583bf1c7230_0 .net *"_ivl_145", 0 0, L_0x5583bf1eeb70; 1 drivers
+v0x5583bf1c7310_0 .net *"_ivl_146", 0 0, L_0x5583bf1ef130; 1 drivers
+v0x5583bf1c73f0_0 .net *"_ivl_15", 0 0, L_0x5583bf1e9e40; 1 drivers
+v0x5583bf1c74d0_0 .net *"_ivl_150", 0 0, L_0x5583bf1ef2c0; 1 drivers
+v0x5583bf1c75b0_0 .net *"_ivl_152", 0 0, L_0x5583bf1ef570; 1 drivers
+v0x5583bf1c7690_0 .net *"_ivl_153", 0 0, L_0x5583bf1ef660; 1 drivers
+v0x5583bf1c7770_0 .net *"_ivl_156", 0 0, L_0x5583bf1ef6d0; 1 drivers
+v0x5583bf1c7850_0 .net *"_ivl_158", 0 0, L_0x5583bf1ef9e0; 1 drivers
+v0x5583bf1c7930_0 .net *"_ivl_159", 0 0, L_0x5583bf1efb20; 1 drivers
+v0x5583bf1c7a10_0 .net *"_ivl_16", 0 0, L_0x5583bf1e9f70; 1 drivers
+v0x5583bf1c7af0_0 .net *"_ivl_162", 0 0, L_0x5583bf1efc70; 1 drivers
+v0x5583bf1c7bd0_0 .net *"_ivl_164", 0 0, L_0x5583bf1eff40; 1 drivers
+v0x5583bf1c7cb0_0 .net *"_ivl_165", 0 0, L_0x5583bf1f0030; 1 drivers
+v0x5583bf1c7d90_0 .net *"_ivl_168", 0 0, L_0x5583bf1f00a0; 1 drivers
+v0x5583bf1c7e70_0 .net *"_ivl_170", 0 0, L_0x5583bf1f0380; 1 drivers
+v0x5583bf1c7f50_0 .net *"_ivl_171", 0 0, L_0x5583bf1f07f0; 1 drivers
+v0x5583bf1c8030_0 .net *"_ivl_175", 0 0, L_0x5583bf1f09a0; 1 drivers
+v0x5583bf1c8110_0 .net *"_ivl_177", 0 0, L_0x5583bf1f0a90; 1 drivers
+v0x5583bf1c81f0_0 .net *"_ivl_178", 0 0, L_0x5583bf1f0d90; 1 drivers
+v0x5583bf1c82d0_0 .net *"_ivl_181", 0 0, L_0x5583bf1f0e00; 1 drivers
+v0x5583bf1c83b0_0 .net *"_ivl_183", 0 0, L_0x5583bf1f0f40; 1 drivers
+v0x5583bf1c8490_0 .net *"_ivl_184", 0 0, L_0x5583bf1f12a0; 1 drivers
+v0x5583bf1c8570_0 .net *"_ivl_187", 0 0, L_0x5583bf1f1410; 1 drivers
+v0x5583bf1c8650_0 .net *"_ivl_189", 0 0, L_0x5583bf1f1500; 1 drivers
+v0x5583bf1c8730_0 .net *"_ivl_19", 0 0, L_0x5583bf1e9fe0; 1 drivers
+v0x5583bf1c8810_0 .net *"_ivl_190", 0 0, L_0x5583bf1f1820; 1 drivers
+v0x5583bf1c88f0_0 .net *"_ivl_193", 0 0, L_0x5583bf1f1890; 1 drivers
+v0x5583bf1c89d0_0 .net *"_ivl_195", 0 0, L_0x5583bf1f1a10; 1 drivers
+v0x5583bf1c8ab0_0 .net *"_ivl_196", 0 0, L_0x5583bf1f1ec0; 1 drivers
+v0x5583bf1c8b90_0 .net *"_ivl_200", 0 0, L_0x5583bf1f2090; 1 drivers
+v0x5583bf1c8c70_0 .net *"_ivl_202", 0 0, L_0x5583bf1f23d0; 1 drivers
+v0x5583bf1c8d50_0 .net *"_ivl_203", 0 0, L_0x5583bf1f24c0; 1 drivers
+v0x5583bf1c8e30_0 .net *"_ivl_206", 0 0, L_0x5583bf1f2530; 1 drivers
+v0x5583bf1c8f10_0 .net *"_ivl_208", 0 0, L_0x5583bf1f28d0; 1 drivers
+v0x5583bf1c8ff0_0 .net *"_ivl_209", 0 0, L_0x5583bf1f2a10; 1 drivers
+v0x5583bf1c90d0_0 .net *"_ivl_21", 0 0, L_0x5583bf1ea080; 1 drivers
+v0x5583bf1c95c0_0 .net *"_ivl_212", 0 0, L_0x5583bf1f2ba0; 1 drivers
+v0x5583bf1c96a0_0 .net *"_ivl_214", 0 0, L_0x5583bf1f2f00; 1 drivers
+v0x5583bf1c9780_0 .net *"_ivl_215", 0 0, L_0x5583bf1f2ff0; 1 drivers
+v0x5583bf1c9860_0 .net *"_ivl_218", 0 0, L_0x5583bf1f3060; 1 drivers
+v0x5583bf1c9940_0 .net *"_ivl_22", 0 0, L_0x5583bf1ea350; 1 drivers
+v0x5583bf1c9a20_0 .net *"_ivl_220", 0 0, L_0x5583bf1f3460; 1 drivers
+v0x5583bf1c9b00_0 .net *"_ivl_221", 0 0, L_0x5583bf1f3590; 1 drivers
+v0x5583bf1c9be0_0 .net *"_ivl_224", 0 0, L_0x5583bf1f2a80; 1 drivers
+v0x5583bf1c9cc0_0 .net *"_ivl_226", 0 0, L_0x5583bf1f39c0; 1 drivers
+v0x5583bf1c9da0_0 .net *"_ivl_227", 0 0, L_0x5583bf1f3a60; 1 drivers
+v0x5583bf1c9e80_0 .net/2u *"_ivl_229", 0 0, L_0x7fc2b1a16330; 1 drivers
+v0x5583bf1c9f60_0 .net *"_ivl_232", 0 0, L_0x5583bf1f3b20; 1 drivers
+v0x5583bf1ca040_0 .net *"_ivl_233", 0 0, L_0x5583bf1f3eb0; 1 drivers
+v0x5583bf1ca120_0 .net/2u *"_ivl_235", 0 0, L_0x7fc2b1a16378; 1 drivers
+v0x5583bf1ca200_0 .net *"_ivl_238", 0 0, L_0x5583bf1f40b0; 1 drivers
+v0x5583bf1ca2e0_0 .net *"_ivl_239", 0 0, L_0x5583bf1f41a0; 1 drivers
+v0x5583bf1ca3c0_0 .net/2u *"_ivl_241", 0 0, L_0x7fc2b1a163c0; 1 drivers
+v0x5583bf1ca4a0_0 .net *"_ivl_244", 0 0, L_0x5583bf1f4260; 1 drivers
+v0x5583bf1ca580_0 .net *"_ivl_245", 0 0, L_0x5583bf1f4970; 1 drivers
+v0x5583bf1ca660_0 .net/2u *"_ivl_248", 0 0, L_0x7fc2b1a16408; 1 drivers
+v0x5583bf1ca740_0 .net *"_ivl_251", 0 0, L_0x5583bf1f4bd0; 1 drivers
+v0x5583bf1ca820_0 .net *"_ivl_26", 0 0, L_0x5583bf1ea410; 1 drivers
+v0x5583bf1ca900_0 .net *"_ivl_28", 0 0, L_0x5583bf1ea500; 1 drivers
+v0x5583bf1ca9e0_0 .net *"_ivl_29", 0 0, L_0x5583bf1ea5a0; 1 drivers
+v0x5583bf1caac0_0 .net *"_ivl_32", 0 0, L_0x5583bf1ea610; 1 drivers
+v0x5583bf1caba0_0 .net *"_ivl_34", 0 0, L_0x5583bf1ea7c0; 1 drivers
+v0x5583bf1cac80_0 .net *"_ivl_35", 0 0, L_0x5583bf1ea8b0; 1 drivers
+v0x5583bf1cad60_0 .net *"_ivl_38", 0 0, L_0x5583bf1ea920; 1 drivers
+v0x5583bf1cae40_0 .net *"_ivl_4", 0 0, L_0x5583bf1e9a00; 1 drivers
+v0x5583bf1caf20_0 .net *"_ivl_40", 0 0, L_0x5583bf1eaa90; 1 drivers
+v0x5583bf1cb000_0 .net *"_ivl_41", 0 0, L_0x5583bf1ea750; 1 drivers
+v0x5583bf1cb0e0_0 .net *"_ivl_44", 0 0, L_0x5583bf1eac10; 1 drivers
+v0x5583bf1cb1c0_0 .net *"_ivl_46", 0 0, L_0x5583bf1eadd0; 1 drivers
+v0x5583bf1cb2a0_0 .net *"_ivl_47", 0 0, L_0x5583bf1eb0a0; 1 drivers
+v0x5583bf1cb380_0 .net *"_ivl_51", 0 0, L_0x5583bf1eb1b0; 1 drivers
+v0x5583bf1cb460_0 .net *"_ivl_53", 0 0, L_0x5583bf1eb2a0; 1 drivers
+v0x5583bf1cb540_0 .net *"_ivl_54", 0 0, L_0x5583bf1eb440; 1 drivers
+v0x5583bf1cb620_0 .net *"_ivl_57", 0 0, L_0x5583bf1eb000; 1 drivers
+v0x5583bf1cb700_0 .net *"_ivl_59", 0 0, L_0x5583bf1eb500; 1 drivers
+v0x5583bf1cb7e0_0 .net *"_ivl_60", 0 0, L_0x5583bf1eb6b0; 1 drivers
+v0x5583bf1cb8c0_0 .net *"_ivl_63", 0 0, L_0x5583bf1eb720; 1 drivers
+v0x5583bf1cb9a0_0 .net *"_ivl_65", 0 0, L_0x5583bf1eb7c0; 1 drivers
+v0x5583bf1cba80_0 .net *"_ivl_66", 0 0, L_0x5583bf1eb980; 1 drivers
+v0x5583bf1cbb60_0 .net *"_ivl_69", 0 0, L_0x5583bf1eb9f0; 1 drivers
+v0x5583bf1cbc40_0 .net *"_ivl_7", 0 0, L_0x5583bf1e9a70; 1 drivers
+v0x5583bf1cbd20_0 .net *"_ivl_71", 0 0, L_0x5583bf1ebae0; 1 drivers
+v0x5583bf1cbe00_0 .net *"_ivl_72", 0 0, L_0x5583bf1ebe40; 1 drivers
+v0x5583bf1cbee0_0 .net *"_ivl_76", 0 0, L_0x5583bf1ebf70; 1 drivers
+v0x5583bf1cbfc0_0 .net *"_ivl_78", 0 0, L_0x5583bf1ec150; 1 drivers
+v0x5583bf1cc0a0_0 .net *"_ivl_79", 0 0, L_0x5583bf1ec240; 1 drivers
+v0x5583bf1cc180_0 .net *"_ivl_82", 0 0, L_0x5583bf1ec2b0; 1 drivers
+v0x5583bf1cc260_0 .net *"_ivl_84", 0 0, L_0x5583bf1ec4a0; 1 drivers
+v0x5583bf1cc340_0 .net *"_ivl_85", 0 0, L_0x5583bf1ec590; 1 drivers
+v0x5583bf1cc420_0 .net *"_ivl_88", 0 0, L_0x5583bf1ec680; 1 drivers
+v0x5583bf1cc500_0 .net *"_ivl_9", 0 0, L_0x5583bf1e9b60; 1 drivers
+v0x5583bf1cc5e0_0 .net *"_ivl_90", 0 0, L_0x5583bf1ec880; 1 drivers
+v0x5583bf1cc6c0_0 .net *"_ivl_91", 0 0, L_0x5583bf1ebf00; 1 drivers
+v0x5583bf1cc7a0_0 .net *"_ivl_94", 0 0, L_0x5583bf1ec970; 1 drivers
+v0x5583bf1cc880_0 .net *"_ivl_96", 0 0, L_0x5583bf1ecb30; 1 drivers
+v0x5583bf1cc960_0 .net *"_ivl_97", 0 0, L_0x5583bf1eca10; 1 drivers
+v0x5583bf1cca40_0 .net "aUtemp1", 3 0, L_0x5583bf1ebcb0; 1 drivers
+v0x5583bf1ccb20_0 .net "aUtemp2", 3 0, L_0x5583bf1ecc20; 1 drivers
+v0x5583bf1ccc00_0 .net "add_Y", 3 0, L_0x5583bf1d63c0; 1 drivers
+v0x5583bf1cccf0_0 .net "bcd", 11 0, L_0x5583bf1fa930; alias, 1 drivers
+v0x5583bf1cd5d0_0 .net "lUOutput1", 3 0, L_0x5583bf1ea1c0; 1 drivers
+v0x5583bf1cd690_0 .net "lUOutput2", 3 0, L_0x5583bf1eae70; 1 drivers
+v0x5583bf1cd770_0 .net "opCode8", 7 0, L_0x5583bf1d0d70; 1 drivers
+v0x5583bf1cd860_0 .net "opCodeA", 2 0, v0x5583bf1cf5c0_0; alias, 1 drivers
+v0x5583bf1cd930_0 .net "opwireM", 7 0, L_0x5583bf1e9230; 1 drivers
+v0x5583bf1cda00_0 .net "overflow", 0 0, L_0x5583bf1d7b00; 1 drivers
+v0x5583bf1cdad0_0 .net "resultA", 3 0, L_0x5583bf1daea0; 1 drivers
+v0x5583bf1cdba0_0 .net "resultO", 3 0, L_0x5583bf1dc020; 1 drivers
+v0x5583bf1cdc70_0 .net "resultX", 3 0, L_0x5583bf1dd280; 1 drivers
+v0x5583bf1cdd40_0 .net "sub_Y", 3 0, L_0x5583bf1d70e0; 1 drivers
+v0x5583bf1cde10_0 .net "wireLA", 3 0, L_0x5583bf1f1d80; 1 drivers
+v0x5583bf1cdeb0_0 .net "wireM", 7 0, L_0x5583bf1eee10; 1 drivers
+v0x5583bf1cdf90_0 .net "wireY", 3 0, L_0x5583bf1f04b0; 1 drivers
+L_0x5583bf1d7c80 .part L_0x5583bf1d0d70, 0, 2;
+L_0x5583bf1ddaf0 .part L_0x5583bf1d0d70, 4, 3;
+L_0x5583bf1e9a70 .part L_0x5583bf1daea0, 0, 1;
+L_0x5583bf1e9b60 .part L_0x5583bf1dc020, 0, 1;
+L_0x5583bf1e9cc0 .part L_0x5583bf1daea0, 1, 1;
+L_0x5583bf1e9e40 .part L_0x5583bf1dc020, 1, 1;
+L_0x5583bf1e9fe0 .part L_0x5583bf1daea0, 2, 1;
+L_0x5583bf1ea080 .part L_0x5583bf1dc020, 2, 1;
+L_0x5583bf1ea1c0 .concat8 [ 1 1 1 1], L_0x5583bf1e9a00, L_0x5583bf1e9c50, L_0x5583bf1e9f70, L_0x5583bf1ea350;
+L_0x5583bf1ea410 .part L_0x5583bf1daea0, 3, 1;
+L_0x5583bf1ea500 .part L_0x5583bf1dc020, 3, 1;
+L_0x5583bf1ea610 .part L_0x5583bf1ea1c0, 0, 1;
+L_0x5583bf1ea7c0 .part L_0x5583bf1dd280, 0, 1;
+L_0x5583bf1ea920 .part L_0x5583bf1ea1c0, 1, 1;
+L_0x5583bf1eaa90 .part L_0x5583bf1dd280, 1, 1;
+L_0x5583bf1eac10 .part L_0x5583bf1ea1c0, 2, 1;
+L_0x5583bf1eadd0 .part L_0x5583bf1dd280, 2, 1;
+L_0x5583bf1eae70 .concat8 [ 1 1 1 1], L_0x5583bf1ea5a0, L_0x5583bf1ea8b0, L_0x5583bf1ea750, L_0x5583bf1eb0a0;
+L_0x5583bf1eb1b0 .part L_0x5583bf1ea1c0, 3, 1;
+L_0x5583bf1eb2a0 .part L_0x5583bf1dd280, 3, 1;
+L_0x5583bf1eb000 .part L_0x5583bf1d0d70, 0, 1;
+L_0x5583bf1eb500 .part L_0x5583bf1d63c0, 0, 1;
+L_0x5583bf1eb720 .part L_0x5583bf1d0d70, 0, 1;
+L_0x5583bf1eb7c0 .part L_0x5583bf1d63c0, 1, 1;
+L_0x5583bf1eb9f0 .part L_0x5583bf1d0d70, 0, 1;
+L_0x5583bf1ebae0 .part L_0x5583bf1d63c0, 2, 1;
+L_0x5583bf1ebcb0 .concat8 [ 1 1 1 1], L_0x5583bf1eb440, L_0x5583bf1eb6b0, L_0x5583bf1eb980, L_0x5583bf1ebe40;
+L_0x5583bf1ebf70 .part L_0x5583bf1d0d70, 0, 1;
+L_0x5583bf1ec150 .part L_0x5583bf1d63c0, 3, 1;
+L_0x5583bf1ec2b0 .part L_0x5583bf1d0d70, 1, 1;
+L_0x5583bf1ec4a0 .part L_0x5583bf1d70e0, 0, 1;
+L_0x5583bf1ec680 .part L_0x5583bf1d0d70, 1, 1;
+L_0x5583bf1ec880 .part L_0x5583bf1d70e0, 1, 1;
+L_0x5583bf1ec970 .part L_0x5583bf1d0d70, 1, 1;
+L_0x5583bf1ecb30 .part L_0x5583bf1d70e0, 2, 1;
+L_0x5583bf1ecc20 .concat8 [ 1 1 1 1], L_0x5583bf1ec240, L_0x5583bf1ec590, L_0x5583bf1ebf00, L_0x5583bf1eca10;
+L_0x5583bf1ecf70 .part L_0x5583bf1d0d70, 1, 1;
+L_0x5583bf1ed010 .part L_0x5583bf1d70e0, 3, 1;
+L_0x5583bf1ed2b0 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1ed3a0 .part L_0x5583bf1e9230, 0, 1;
+L_0x5583bf1ed6f0 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1ed7e0 .part L_0x5583bf1e9230, 1, 1;
+L_0x5583bf1edaa0 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1edb40 .part L_0x5583bf1e9230, 2, 1;
+L_0x5583bf1ed650 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1edf10 .part L_0x5583bf1e9230, 3, 1;
+L_0x5583bf1ee1f0 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1ee2e0 .part L_0x5583bf1e9230, 4, 1;
+L_0x5583bf1ee690 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1ee780 .part L_0x5583bf1e9230, 5, 1;
+L_0x5583bf1eea80 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1eeb70 .part L_0x5583bf1e9230, 6, 1;
+LS_0x5583bf1eee10_0_0 .concat8 [ 1 1 1 1], L_0x5583bf1ed240, L_0x5583bf1ed5e0, L_0x5583bf1eda30, L_0x5583bf1edda0;
+LS_0x5583bf1eee10_0_4 .concat8 [ 1 1 1 1], L_0x5583bf1ee180, L_0x5583bf1ee560, L_0x5583bf1eea10, L_0x5583bf1ef130;
+L_0x5583bf1eee10 .concat8 [ 4 4 0 0], LS_0x5583bf1eee10_0_0, LS_0x5583bf1eee10_0_4;
+L_0x5583bf1ef2c0 .part L_0x5583bf1d0d70, 2, 1;
+L_0x5583bf1ef570 .part L_0x5583bf1e9230, 7, 1;
+L_0x5583bf1ef6d0 .part L_0x5583bf1ebcb0, 0, 1;
+L_0x5583bf1ef9e0 .part L_0x5583bf1ecc20, 0, 1;
+L_0x5583bf1efc70 .part L_0x5583bf1ebcb0, 1, 1;
+L_0x5583bf1eff40 .part L_0x5583bf1ecc20, 1, 1;
+L_0x5583bf1f00a0 .part L_0x5583bf1ebcb0, 2, 1;
+L_0x5583bf1f0380 .part L_0x5583bf1ecc20, 2, 1;
+L_0x5583bf1f04b0 .concat8 [ 1 1 1 1], L_0x5583bf1ef660, L_0x5583bf1efb20, L_0x5583bf1f0030, L_0x5583bf1f07f0;
+L_0x5583bf1f09a0 .part L_0x5583bf1ebcb0, 3, 1;
+L_0x5583bf1f0a90 .part L_0x5583bf1ecc20, 3, 1;
+L_0x5583bf1f0e00 .part L_0x5583bf1eae70, 0, 1;
+L_0x5583bf1f0f40 .part L_0x5583bf1f04b0, 0, 1;
+L_0x5583bf1f1410 .part L_0x5583bf1eae70, 1, 1;
+L_0x5583bf1f1500 .part L_0x5583bf1f04b0, 1, 1;
+L_0x5583bf1f1890 .part L_0x5583bf1eae70, 2, 1;
+L_0x5583bf1f1a10 .part L_0x5583bf1f04b0, 2, 1;
+L_0x5583bf1f1d80 .concat8 [ 1 1 1 1], L_0x5583bf1f0d90, L_0x5583bf1f12a0, L_0x5583bf1f1820, L_0x5583bf1f1ec0;
+L_0x5583bf1f2090 .part L_0x5583bf1eae70, 3, 1;
+L_0x5583bf1f23d0 .part L_0x5583bf1f04b0, 3, 1;
+L_0x5583bf1f2530 .part L_0x5583bf1f1d80, 0, 1;
+L_0x5583bf1f28d0 .part L_0x5583bf1eee10, 0, 1;
+L_0x5583bf1f2ba0 .part L_0x5583bf1f1d80, 1, 1;
+L_0x5583bf1f2f00 .part L_0x5583bf1eee10, 1, 1;
+L_0x5583bf1f3060 .part L_0x5583bf1f1d80, 2, 1;
+L_0x5583bf1f3460 .part L_0x5583bf1eee10, 2, 1;
+L_0x5583bf1f2a80 .part L_0x5583bf1f1d80, 3, 1;
+L_0x5583bf1f39c0 .part L_0x5583bf1eee10, 3, 1;
+L_0x5583bf1f3b20 .part L_0x5583bf1eee10, 4, 1;
+L_0x5583bf1f40b0 .part L_0x5583bf1eee10, 5, 1;
+L_0x5583bf1f4260 .part L_0x5583bf1eee10, 6, 1;
+LS_0x5583bf1f4600_0_0 .concat8 [ 1 1 1 1], L_0x5583bf1f24c0, L_0x5583bf1f2a10, L_0x5583bf1f2ff0, L_0x5583bf1f3590;
+LS_0x5583bf1f4600_0_4 .concat8 [ 1 1 1 1], L_0x5583bf1f3a60, L_0x5583bf1f3eb0, L_0x5583bf1f41a0, L_0x5583bf1f4970;
+L_0x5583bf1f4600 .concat8 [ 4 4 0 0], LS_0x5583bf1f4600_0_0, LS_0x5583bf1f4600_0_4;
+L_0x5583bf1f4bd0 .part L_0x5583bf1eee10, 7, 1;
+S_0x5583bf183570 .scope module, "aU" "arithmeticUnit" 4 20, 5 1 0, S_0x5583bf185fa0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 2 "opCode";
+ .port_info 1 /INPUT 4 "A";
+ .port_info 2 /INPUT 4 "B";
+ .port_info 3 /INPUT 1 "CarryIN";
+ .port_info 4 /OUTPUT 4 "add_Y";
+ .port_info 5 /OUTPUT 4 "sub_Y";
+ .port_info 6 /OUTPUT 1 "CarryOUT";
+ .port_info 7 /OUTPUT 1 "overflow";
+L_0x5583bf1d5e00 .functor AND 1, L_0x5583bf1d5e90, L_0x5583bf1d5f30, C4<1>, C4<1>;
+L_0x5583bf1d5fd0 .functor AND 1, L_0x5583bf1d6040, L_0x5583bf1d60e0, C4<1>, C4<1>;
+L_0x5583bf1d6210 .functor AND 1, L_0x5583bf1d6280, L_0x5583bf1d6320, C4<1>, C4<1>;
+L_0x5583bf1d6550 .functor AND 1, L_0x5583bf1d6610, L_0x5583bf1d6790, C4<1>, C4<1>;
+L_0x5583bf1d6880 .functor AND 1, L_0x5583bf1d68f0, L_0x5583bf1d69e0, C4<1>, C4<1>;
+L_0x5583bf1d6ad0 .functor AND 1, L_0x5583bf1d6b80, L_0x5583bf1d6ce0, C4<1>, C4<1>;
+L_0x5583bf1d6e60 .functor AND 1, L_0x5583bf1d6ed0, L_0x5583bf1d6ff0, C4<1>, C4<1>;
+L_0x5583bf1d6c70 .functor AND 1, L_0x5583bf1d73f0, L_0x5583bf1d74e0, C4<1>, C4<1>;
+L_0x5583bf1d7670 .functor AND 1, L_0x5583bf1d5640, L_0x5583bf1d76e0, C4<1>, C4<1>;
+L_0x5583bf1d7780 .functor AND 1, L_0x5583bf1d2d80, L_0x5583bf1d7850, C4<1>, C4<1>;
+L_0x5583bf1d79a0 .functor OR 1, L_0x5583bf1d7780, L_0x5583bf1d7670, C4<0>, C4<0>;
+L_0x5583bf1d7b00 .functor AND 1, L_0x5583bf1d75d0, L_0x5583bf1d33c0, C4<1>, C4<1>;
+v0x5583bf19d530_0 .net "A", 3 0, v0x5583bf1cf130_0; alias, 1 drivers
+v0x5583bf19d660_0 .net "B", 3 0, v0x5583bf1cf210_0; alias, 1 drivers
+v0x5583bf19d770_0 .net "CarryIN", 0 0, L_0x7fc2b1a166d8; alias, 1 drivers
+v0x5583bf19d810_0 .net "CarryOUT", 0 0, L_0x5583bf1d79a0; alias, 1 drivers
+v0x5583bf19d8b0_0 .net "CarryOUTADD", 0 0, L_0x5583bf1d2d80; 1 drivers
+v0x5583bf19d9f0_0 .net "CarryOUTSUB", 0 0, L_0x5583bf1d5640; 1 drivers
+v0x5583bf19dae0_0 .net *"_ivl_0", 0 0, L_0x5583bf1d5e00; 1 drivers
+v0x5583bf19dbc0_0 .net *"_ivl_11", 0 0, L_0x5583bf1d60e0; 1 drivers
+v0x5583bf19dca0_0 .net *"_ivl_12", 0 0, L_0x5583bf1d6210; 1 drivers
+v0x5583bf19de10_0 .net *"_ivl_15", 0 0, L_0x5583bf1d6280; 1 drivers
+v0x5583bf19def0_0 .net *"_ivl_17", 0 0, L_0x5583bf1d6320; 1 drivers
+v0x5583bf19dfd0_0 .net *"_ivl_18", 0 0, L_0x5583bf1d6550; 1 drivers
+v0x5583bf19e0b0_0 .net *"_ivl_22", 0 0, L_0x5583bf1d6610; 1 drivers
+v0x5583bf19e190_0 .net *"_ivl_24", 0 0, L_0x5583bf1d6790; 1 drivers
+v0x5583bf19e270_0 .net *"_ivl_25", 0 0, L_0x5583bf1d6880; 1 drivers
+v0x5583bf19e350_0 .net *"_ivl_28", 0 0, L_0x5583bf1d68f0; 1 drivers
+v0x5583bf19e430_0 .net *"_ivl_3", 0 0, L_0x5583bf1d5e90; 1 drivers
+v0x5583bf19e510_0 .net *"_ivl_30", 0 0, L_0x5583bf1d69e0; 1 drivers
+v0x5583bf19e5f0_0 .net *"_ivl_31", 0 0, L_0x5583bf1d6ad0; 1 drivers
+v0x5583bf19e6d0_0 .net *"_ivl_34", 0 0, L_0x5583bf1d6b80; 1 drivers
+v0x5583bf19e7b0_0 .net *"_ivl_36", 0 0, L_0x5583bf1d6ce0; 1 drivers
+v0x5583bf19e890_0 .net *"_ivl_37", 0 0, L_0x5583bf1d6e60; 1 drivers
+v0x5583bf19e970_0 .net *"_ivl_40", 0 0, L_0x5583bf1d6ed0; 1 drivers
+v0x5583bf19ea50_0 .net *"_ivl_42", 0 0, L_0x5583bf1d6ff0; 1 drivers
+v0x5583bf19eb30_0 .net *"_ivl_43", 0 0, L_0x5583bf1d6c70; 1 drivers
+v0x5583bf19ec10_0 .net *"_ivl_47", 0 0, L_0x5583bf1d73f0; 1 drivers
+v0x5583bf19ecf0_0 .net *"_ivl_49", 0 0, L_0x5583bf1d74e0; 1 drivers
+v0x5583bf19edd0_0 .net *"_ivl_5", 0 0, L_0x5583bf1d5f30; 1 drivers
+v0x5583bf19eeb0_0 .net *"_ivl_51", 0 0, L_0x5583bf1d76e0; 1 drivers
+v0x5583bf19ef90_0 .net *"_ivl_53", 0 0, L_0x5583bf1d7850; 1 drivers
+v0x5583bf19f070_0 .net *"_ivl_55", 0 0, L_0x5583bf1d75d0; 1 drivers
+v0x5583bf19f150_0 .net *"_ivl_6", 0 0, L_0x5583bf1d5fd0; 1 drivers
+v0x5583bf19f230_0 .net *"_ivl_9", 0 0, L_0x5583bf1d6040; 1 drivers
+v0x5583bf19f520_0 .net "addY", 3 0, L_0x5583bf1d3320; 1 drivers
+v0x5583bf19f5e0_0 .net "add_Y", 3 0, L_0x5583bf1d63c0; alias, 1 drivers
+v0x5583bf19f6a0_0 .net "opCode", 1 0, L_0x5583bf1d7c80; 1 drivers
+v0x5583bf19f780_0 .net "overflow", 0 0, L_0x5583bf1d7b00; alias, 1 drivers
+v0x5583bf19f840_0 .net "subY", 3 0, L_0x5583bf1d5cf0; 1 drivers
+v0x5583bf19f900_0 .net "sub_Y", 3 0, L_0x5583bf1d70e0; alias, 1 drivers
+v0x5583bf19f9c0_0 .net "tempCAdd", 0 0, L_0x5583bf1d7780; 1 drivers
+v0x5583bf19fa80_0 .net "tempCSub", 0 0, L_0x5583bf1d7670; 1 drivers
+v0x5583bf19fb40_0 .net "tempoverflow", 0 0, L_0x5583bf1d33c0; 1 drivers
+L_0x5583bf1d5e90 .part L_0x5583bf1d7c80, 0, 1;
+L_0x5583bf1d5f30 .part L_0x5583bf1d3320, 0, 1;
+L_0x5583bf1d6040 .part L_0x5583bf1d7c80, 0, 1;
+L_0x5583bf1d60e0 .part L_0x5583bf1d3320, 1, 1;
+L_0x5583bf1d6280 .part L_0x5583bf1d7c80, 0, 1;
+L_0x5583bf1d6320 .part L_0x5583bf1d3320, 2, 1;
+L_0x5583bf1d63c0 .concat8 [ 1 1 1 1], L_0x5583bf1d5e00, L_0x5583bf1d5fd0, L_0x5583bf1d6210, L_0x5583bf1d6550;
+L_0x5583bf1d6610 .part L_0x5583bf1d7c80, 0, 1;
+L_0x5583bf1d6790 .part L_0x5583bf1d3320, 3, 1;
+L_0x5583bf1d68f0 .part L_0x5583bf1d7c80, 1, 1;
+L_0x5583bf1d69e0 .part L_0x5583bf1d5cf0, 0, 1;
+L_0x5583bf1d6b80 .part L_0x5583bf1d7c80, 1, 1;
+L_0x5583bf1d6ce0 .part L_0x5583bf1d5cf0, 1, 1;
+L_0x5583bf1d6ed0 .part L_0x5583bf1d7c80, 1, 1;
+L_0x5583bf1d6ff0 .part L_0x5583bf1d5cf0, 2, 1;
+L_0x5583bf1d70e0 .concat8 [ 1 1 1 1], L_0x5583bf1d6880, L_0x5583bf1d6ad0, L_0x5583bf1d6e60, L_0x5583bf1d6c70;
+L_0x5583bf1d73f0 .part L_0x5583bf1d7c80, 1, 1;
+L_0x5583bf1d74e0 .part L_0x5583bf1d5cf0, 3, 1;
+L_0x5583bf1d76e0 .part L_0x5583bf1d7c80, 1, 1;
+L_0x5583bf1d7850 .part L_0x5583bf1d7c80, 0, 1;
+L_0x5583bf1d75d0 .part L_0x5583bf1d7c80, 0, 1;
+S_0x5583bf1811d0 .scope module, "a1" "addition" 5 13, 6 1 0, S_0x5583bf183570;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x5583bf1d33c0 .functor XOR 1, L_0x5583bf1d34e0, L_0x5583bf1d2d80, C4<0>, C4<0>;
+v0x5583bf196920_0 .net "A", 3 0, v0x5583bf1cf130_0; alias, 1 drivers
+v0x5583bf196a00_0 .net "B", 3 0, v0x5583bf1cf210_0; alias, 1 drivers
+v0x5583bf196ae0_0 .net "Carry4", 2 0, L_0x5583bf1d27f0; 1 drivers
+v0x5583bf196ba0_0 .net "CarryIN", 0 0, L_0x7fc2b1a166d8; alias, 1 drivers
+v0x5583bf196c90_0 .net "CarryOUT", 0 0, L_0x5583bf1d2d80; alias, 1 drivers
+v0x5583bf196d80_0 .net "Y", 3 0, L_0x5583bf1d3320; alias, 1 drivers
+v0x5583bf196e40_0 .net *"_ivl_39", 0 0, L_0x5583bf1d34e0; 1 drivers
+v0x5583bf196f20_0 .net "overflow", 0 0, L_0x5583bf1d33c0; alias, 1 drivers
+L_0x5583bf1d1710 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1d17b0 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1d1c40 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1d1d70 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1d1ea0 .part L_0x5583bf1d27f0, 0, 1;
+L_0x5583bf1d23d0 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1d2540 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1d2670 .part L_0x5583bf1d27f0, 1, 1;
+L_0x5583bf1d27f0 .concat8 [ 1 1 1 0], L_0x5583bf1d16a0, L_0x5583bf1d1bb0, L_0x5583bf1d2340;
+L_0x5583bf1d2e30 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1d2fc0 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1d30f0 .part L_0x5583bf1d27f0, 2, 1;
+L_0x5583bf1d3320 .concat8 [ 1 1 1 1], L_0x5583bf1d1630, L_0x5583bf1d1ad0, L_0x5583bf1d2260, L_0x5583bf1d2ca0;
+L_0x5583bf1d34e0 .part L_0x5583bf1d27f0, 2, 1;
+S_0x5583bf17ea20 .scope module, "f0" "fulladder" 6 11, 7 1 0, S_0x5583bf1811d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1d16a0 .functor OR 1, L_0x5583bf1d1290, L_0x5583bf1d1460, C4<0>, C4<0>;
+v0x5583bf1925d0_0 .net "A", 0 0, L_0x5583bf1d1710; 1 drivers
+v0x5583bf192690_0 .net "B", 0 0, L_0x5583bf1d17b0; 1 drivers
+v0x5583bf192760_0 .net "Carry", 0 0, L_0x7fc2b1a166d8; alias, 1 drivers
+v0x5583bf192860_0 .net "CarryO", 0 0, L_0x5583bf1d16a0; 1 drivers
+v0x5583bf192900_0 .net "Sum", 0 0, L_0x5583bf1d1630; 1 drivers
+v0x5583bf1929f0_0 .net "and1", 0 0, L_0x5583bf1d1290; 1 drivers
+v0x5583bf192ac0_0 .net "and2", 0 0, L_0x5583bf1d1460; 1 drivers
+v0x5583bf192b90_0 .net "xor1", 0 0, L_0x5583bf1d13f0; 1 drivers
+S_0x5583bf17c270 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf17ea20;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d1290 .functor AND 1, L_0x5583bf1d1710, L_0x5583bf1d17b0, C4<1>, C4<1>;
+L_0x5583bf1d13f0 .functor XOR 1, L_0x5583bf1d1710, L_0x5583bf1d17b0, C4<0>, C4<0>;
+v0x5583bf184260_0 .net "A", 0 0, L_0x5583bf1d1710; alias, 1 drivers
+v0x5583bf183f50_0 .net "B", 0 0, L_0x5583bf1d17b0; alias, 1 drivers
+v0x5583bf183c10_0 .net "Carry", 0 0, L_0x5583bf1d1290; alias, 1 drivers
+v0x5583bf118200_0 .net "Sum", 0 0, L_0x5583bf1d13f0; alias, 1 drivers
+S_0x5583bf1920f0 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf17ea20;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d1460 .functor AND 1, L_0x5583bf1d13f0, L_0x7fc2b1a166d8, C4<1>, C4<1>;
+L_0x5583bf1d1630 .functor XOR 1, L_0x5583bf1d13f0, L_0x7fc2b1a166d8, C4<0>, C4<0>;
+v0x5583bf1164f0_0 .net "A", 0 0, L_0x5583bf1d13f0; alias, 1 drivers
+v0x5583bf1922f0_0 .net "B", 0 0, L_0x7fc2b1a166d8; alias, 1 drivers
+v0x5583bf192390_0 .net "Carry", 0 0, L_0x5583bf1d1460; alias, 1 drivers
+v0x5583bf192460_0 .net "Sum", 0 0, L_0x5583bf1d1630; alias, 1 drivers
+S_0x5583bf192c80 .scope module, "f1" "fulladder" 6 12, 7 1 0, S_0x5583bf1811d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1d1bb0 .functor OR 1, L_0x5583bf1d1850, L_0x5583bf1d1980, C4<0>, C4<0>;
+v0x5583bf193a00_0 .net "A", 0 0, L_0x5583bf1d1c40; 1 drivers
+v0x5583bf193ac0_0 .net "B", 0 0, L_0x5583bf1d1d70; 1 drivers
+v0x5583bf193b90_0 .net "Carry", 0 0, L_0x5583bf1d1ea0; 1 drivers
+v0x5583bf193c90_0 .net "CarryO", 0 0, L_0x5583bf1d1bb0; 1 drivers
+v0x5583bf193d30_0 .net "Sum", 0 0, L_0x5583bf1d1ad0; 1 drivers
+v0x5583bf193e20_0 .net "and1", 0 0, L_0x5583bf1d1850; 1 drivers
+v0x5583bf193ef0_0 .net "and2", 0 0, L_0x5583bf1d1980; 1 drivers
+v0x5583bf193fc0_0 .net "xor1", 0 0, L_0x5583bf1d1910; 1 drivers
+S_0x5583bf192e60 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf192c80;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d1850 .functor AND 1, L_0x5583bf1d1c40, L_0x5583bf1d1d70, C4<1>, C4<1>;
+L_0x5583bf1d1910 .functor XOR 1, L_0x5583bf1d1c40, L_0x5583bf1d1d70, C4<0>, C4<0>;
+v0x5583bf193070_0 .net "A", 0 0, L_0x5583bf1d1c40; alias, 1 drivers
+v0x5583bf193150_0 .net "B", 0 0, L_0x5583bf1d1d70; alias, 1 drivers
+v0x5583bf193210_0 .net "Carry", 0 0, L_0x5583bf1d1850; alias, 1 drivers
+v0x5583bf1932e0_0 .net "Sum", 0 0, L_0x5583bf1d1910; alias, 1 drivers
+S_0x5583bf193450 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf192c80;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d1980 .functor AND 1, L_0x5583bf1d1910, L_0x5583bf1d1ea0, C4<1>, C4<1>;
+L_0x5583bf1d1ad0 .functor XOR 1, L_0x5583bf1d1910, L_0x5583bf1d1ea0, C4<0>, C4<0>;
+v0x5583bf193650_0 .net "A", 0 0, L_0x5583bf1d1910; alias, 1 drivers
+v0x5583bf193720_0 .net "B", 0 0, L_0x5583bf1d1ea0; alias, 1 drivers
+v0x5583bf1937c0_0 .net "Carry", 0 0, L_0x5583bf1d1980; alias, 1 drivers
+v0x5583bf193890_0 .net "Sum", 0 0, L_0x5583bf1d1ad0; alias, 1 drivers
+S_0x5583bf1940b0 .scope module, "f2" "fulladder" 6 13, 7 1 0, S_0x5583bf1811d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1d2340 .functor OR 1, L_0x5583bf1d1fd0, L_0x5583bf1d20d0, C4<0>, C4<0>;
+v0x5583bf194e40_0 .net "A", 0 0, L_0x5583bf1d23d0; 1 drivers
+v0x5583bf194f00_0 .net "B", 0 0, L_0x5583bf1d2540; 1 drivers
+v0x5583bf194fd0_0 .net "Carry", 0 0, L_0x5583bf1d2670; 1 drivers
+v0x5583bf1950d0_0 .net "CarryO", 0 0, L_0x5583bf1d2340; 1 drivers
+v0x5583bf195170_0 .net "Sum", 0 0, L_0x5583bf1d2260; 1 drivers
+v0x5583bf195260_0 .net "and1", 0 0, L_0x5583bf1d1fd0; 1 drivers
+v0x5583bf195330_0 .net "and2", 0 0, L_0x5583bf1d20d0; 1 drivers
+v0x5583bf195400_0 .net "xor1", 0 0, L_0x5583bf1d2040; 1 drivers
+S_0x5583bf1942c0 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1940b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d1fd0 .functor AND 1, L_0x5583bf1d23d0, L_0x5583bf1d2540, C4<1>, C4<1>;
+L_0x5583bf1d2040 .functor XOR 1, L_0x5583bf1d23d0, L_0x5583bf1d2540, C4<0>, C4<0>;
+v0x5583bf1944d0_0 .net "A", 0 0, L_0x5583bf1d23d0; alias, 1 drivers
+v0x5583bf194590_0 .net "B", 0 0, L_0x5583bf1d2540; alias, 1 drivers
+v0x5583bf194650_0 .net "Carry", 0 0, L_0x5583bf1d1fd0; alias, 1 drivers
+v0x5583bf194720_0 .net "Sum", 0 0, L_0x5583bf1d2040; alias, 1 drivers
+S_0x5583bf194890 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1940b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d20d0 .functor AND 1, L_0x5583bf1d2040, L_0x5583bf1d2670, C4<1>, C4<1>;
+L_0x5583bf1d2260 .functor XOR 1, L_0x5583bf1d2040, L_0x5583bf1d2670, C4<0>, C4<0>;
+v0x5583bf194a90_0 .net "A", 0 0, L_0x5583bf1d2040; alias, 1 drivers
+v0x5583bf194b60_0 .net "B", 0 0, L_0x5583bf1d2670; alias, 1 drivers
+v0x5583bf194c00_0 .net "Carry", 0 0, L_0x5583bf1d20d0; alias, 1 drivers
+v0x5583bf194cd0_0 .net "Sum", 0 0, L_0x5583bf1d2260; alias, 1 drivers
+S_0x5583bf1954f0 .scope module, "f3" "fulladder" 6 14, 7 1 0, S_0x5583bf1811d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1d2d80 .functor OR 1, L_0x5583bf1d28e0, L_0x5583bf1d2b10, C4<0>, C4<0>;
+v0x5583bf196270_0 .net "A", 0 0, L_0x5583bf1d2e30; 1 drivers
+v0x5583bf196330_0 .net "B", 0 0, L_0x5583bf1d2fc0; 1 drivers
+v0x5583bf196400_0 .net "Carry", 0 0, L_0x5583bf1d30f0; 1 drivers
+v0x5583bf196500_0 .net "CarryO", 0 0, L_0x5583bf1d2d80; alias, 1 drivers
+v0x5583bf1965a0_0 .net "Sum", 0 0, L_0x5583bf1d2ca0; 1 drivers
+v0x5583bf196690_0 .net "and1", 0 0, L_0x5583bf1d28e0; 1 drivers
+v0x5583bf196760_0 .net "and2", 0 0, L_0x5583bf1d2b10; 1 drivers
+v0x5583bf196830_0 .net "xor1", 0 0, L_0x5583bf1d2a80; 1 drivers
+S_0x5583bf1956d0 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1954f0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d28e0 .functor AND 1, L_0x5583bf1d2e30, L_0x5583bf1d2fc0, C4<1>, C4<1>;
+L_0x5583bf1d2a80 .functor XOR 1, L_0x5583bf1d2e30, L_0x5583bf1d2fc0, C4<0>, C4<0>;
+v0x5583bf1958e0_0 .net "A", 0 0, L_0x5583bf1d2e30; alias, 1 drivers
+v0x5583bf1959c0_0 .net "B", 0 0, L_0x5583bf1d2fc0; alias, 1 drivers
+v0x5583bf195a80_0 .net "Carry", 0 0, L_0x5583bf1d28e0; alias, 1 drivers
+v0x5583bf195b50_0 .net "Sum", 0 0, L_0x5583bf1d2a80; alias, 1 drivers
+S_0x5583bf195cc0 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1954f0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1d2b10 .functor AND 1, L_0x5583bf1d2a80, L_0x5583bf1d30f0, C4<1>, C4<1>;
+L_0x5583bf1d2ca0 .functor XOR 1, L_0x5583bf1d2a80, L_0x5583bf1d30f0, C4<0>, C4<0>;
+v0x5583bf195ec0_0 .net "A", 0 0, L_0x5583bf1d2a80; alias, 1 drivers
+v0x5583bf195f90_0 .net "B", 0 0, L_0x5583bf1d30f0; alias, 1 drivers
+v0x5583bf196030_0 .net "Carry", 0 0, L_0x5583bf1d2b10; alias, 1 drivers
+v0x5583bf196100_0 .net "Sum", 0 0, L_0x5583bf1d2ca0; alias, 1 drivers
+S_0x5583bf1970a0 .scope module, "s1" "subtraction" 5 14, 9 1 0, S_0x5583bf183570;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "BorrowOUT";
+v0x5583bf19ced0_0 .net "A", 3 0, v0x5583bf1cf130_0; alias, 1 drivers
+v0x5583bf19cf90_0 .net "B", 3 0, v0x5583bf1cf210_0; alias, 1 drivers
+v0x5583bf19d060_0 .net "BorrowIN", 0 0, L_0x7fc2b1a166d8; alias, 1 drivers
+v0x5583bf19d130_0 .net "BorrowOUT", 0 0, L_0x5583bf1d5640; alias, 1 drivers
+v0x5583bf19d200_0 .net "Y", 3 0, L_0x5583bf1d5cf0; alias, 1 drivers
+o0x7fc2b1a61358 .functor BUFZ 1, C4; HiZ drive
+; Elide local net with no drivers, v0x5583bf19d2f0_0 name=_ivl_39
+v0x5583bf19d3b0_0 .net "tempB", 3 0, L_0x5583bf1fb150; 1 drivers
+L_0x5583bf1d3b00 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1d3c50 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1d4390 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1d44c0 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1d45f0 .part L_0x5583bf1fb150, 0, 1;
+L_0x5583bf1d4ca0 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1d4e10 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1d4f40 .part L_0x5583bf1fb150, 1, 1;
+L_0x5583bf1d56f0 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1d5820 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1d5bc0 .part L_0x5583bf1fb150, 2, 1;
+L_0x5583bf1d5cf0 .concat8 [ 1 1 1 1], L_0x5583bf1d3790, L_0x5583bf1d3fd0, L_0x5583bf1d48e0, L_0x5583bf1d5310;
+L_0x5583bf1fb150 .concat [ 1 1 1 1], L_0x5583bf1d3a70, L_0x5583bf1d4300, L_0x5583bf1d4c10, o0x7fc2b1a61358;
+S_0x5583bf1972a0 .scope module, "f0" "fullsubtraction" 9 11, 10 1 0, S_0x5583bf1970a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x5583bf1d3a70 .functor OR 1, L_0x5583bf1d36d0, L_0x5583bf1d39b0, C4<0>, C4<0>;
+v0x5583bf1982f0_0 .net "A", 0 0, L_0x5583bf1d3b00; 1 drivers
+v0x5583bf1983b0_0 .net "B", 0 0, L_0x5583bf1d3c50; 1 drivers
+v0x5583bf198480_0 .net "BorrowIN", 0 0, L_0x7fc2b1a166d8; alias, 1 drivers
+v0x5583bf1985e0_0 .net "BorrowOut", 0 0, L_0x5583bf1d3a70; 1 drivers
+v0x5583bf198680_0 .net "Difference", 0 0, L_0x5583bf1d3790; 1 drivers
+v0x5583bf198720_0 .net "tempB1", 0 0, L_0x5583bf1d36d0; 1 drivers
+v0x5583bf1987f0_0 .net "tempB2", 0 0, L_0x5583bf1d39b0; 1 drivers
+v0x5583bf1988c0_0 .net "tempD", 0 0, L_0x5583bf1d32b0; 1 drivers
+S_0x5583bf1974b0 .scope module, "hf1" "halfsubtraction" 10 8, 11 1 0, S_0x5583bf1972a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d32b0 .functor XOR 1, L_0x5583bf1d3b00, L_0x5583bf1d3c50, C4<0>, C4<0>;
+L_0x5583bf1d3640 .functor NOT 1, L_0x5583bf1d3b00, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d36d0 .functor AND 1, L_0x5583bf1d3640, L_0x5583bf1d3c50, C4<1>, C4<1>;
+v0x5583bf197750_0 .net "A", 0 0, L_0x5583bf1d3b00; alias, 1 drivers
+v0x5583bf197830_0 .net "B", 0 0, L_0x5583bf1d3c50; alias, 1 drivers
+v0x5583bf1978f0_0 .net "Borrow", 0 0, L_0x5583bf1d36d0; alias, 1 drivers
+v0x5583bf1979c0_0 .net "Difference", 0 0, L_0x5583bf1d32b0; alias, 1 drivers
+v0x5583bf197a80_0 .net "notA", 0 0, L_0x5583bf1d3640; 1 drivers
+S_0x5583bf197c10 .scope module, "hf2" "halfsubtraction" 10 9, 11 1 0, S_0x5583bf1972a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d3790 .functor XOR 1, L_0x5583bf1d32b0, L_0x7fc2b1a166d8, C4<0>, C4<0>;
+L_0x5583bf1d3920 .functor NOT 1, L_0x5583bf1d32b0, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d39b0 .functor AND 1, L_0x5583bf1d3920, L_0x7fc2b1a166d8, C4<1>, C4<1>;
+v0x5583bf197e80_0 .net "A", 0 0, L_0x5583bf1d32b0; alias, 1 drivers
+v0x5583bf197f50_0 .net "B", 0 0, L_0x7fc2b1a166d8; alias, 1 drivers
+v0x5583bf197ff0_0 .net "Borrow", 0 0, L_0x5583bf1d39b0; alias, 1 drivers
+v0x5583bf1980c0_0 .net "Difference", 0 0, L_0x5583bf1d3790; alias, 1 drivers
+v0x5583bf198160_0 .net "notA", 0 0, L_0x5583bf1d3920; 1 drivers
+S_0x5583bf1989b0 .scope module, "f1" "fullsubtraction" 9 12, 10 1 0, S_0x5583bf1970a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x5583bf1d4300 .functor OR 1, L_0x5583bf1d3ec0, L_0x5583bf1d41f0, C4<0>, C4<0>;
+v0x5583bf1999f0_0 .net "A", 0 0, L_0x5583bf1d4390; 1 drivers
+v0x5583bf199ab0_0 .net "B", 0 0, L_0x5583bf1d44c0; 1 drivers
+v0x5583bf199b80_0 .net "BorrowIN", 0 0, L_0x5583bf1d45f0; 1 drivers
+v0x5583bf199c80_0 .net "BorrowOut", 0 0, L_0x5583bf1d4300; 1 drivers
+v0x5583bf199d20_0 .net "Difference", 0 0, L_0x5583bf1d3fd0; 1 drivers
+v0x5583bf199e10_0 .net "tempB1", 0 0, L_0x5583bf1d3ec0; 1 drivers
+v0x5583bf199ee0_0 .net "tempB2", 0 0, L_0x5583bf1d41f0; 1 drivers
+v0x5583bf199fb0_0 .net "tempD", 0 0, L_0x5583bf1d3d80; 1 drivers
+S_0x5583bf198bb0 .scope module, "hf1" "halfsubtraction" 10 8, 11 1 0, S_0x5583bf1989b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d3d80 .functor XOR 1, L_0x5583bf1d4390, L_0x5583bf1d44c0, C4<0>, C4<0>;
+L_0x5583bf1d3e30 .functor NOT 1, L_0x5583bf1d4390, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d3ec0 .functor AND 1, L_0x5583bf1d3e30, L_0x5583bf1d44c0, C4<1>, C4<1>;
+v0x5583bf198e30_0 .net "A", 0 0, L_0x5583bf1d4390; alias, 1 drivers
+v0x5583bf198f10_0 .net "B", 0 0, L_0x5583bf1d44c0; alias, 1 drivers
+v0x5583bf198fd0_0 .net "Borrow", 0 0, L_0x5583bf1d3ec0; alias, 1 drivers
+v0x5583bf1990a0_0 .net "Difference", 0 0, L_0x5583bf1d3d80; alias, 1 drivers
+v0x5583bf199160_0 .net "notA", 0 0, L_0x5583bf1d3e30; 1 drivers
+S_0x5583bf1992f0 .scope module, "hf2" "halfsubtraction" 10 9, 11 1 0, S_0x5583bf1989b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d3fd0 .functor XOR 1, L_0x5583bf1d3d80, L_0x5583bf1d45f0, C4<0>, C4<0>;
+L_0x5583bf1d4160 .functor NOT 1, L_0x5583bf1d3d80, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d41f0 .functor AND 1, L_0x5583bf1d4160, L_0x5583bf1d45f0, C4<1>, C4<1>;
+v0x5583bf199560_0 .net "A", 0 0, L_0x5583bf1d3d80; alias, 1 drivers
+v0x5583bf199630_0 .net "B", 0 0, L_0x5583bf1d45f0; alias, 1 drivers
+v0x5583bf1996d0_0 .net "Borrow", 0 0, L_0x5583bf1d41f0; alias, 1 drivers
+v0x5583bf1997a0_0 .net "Difference", 0 0, L_0x5583bf1d3fd0; alias, 1 drivers
+v0x5583bf199860_0 .net "notA", 0 0, L_0x5583bf1d4160; 1 drivers
+S_0x5583bf19a0a0 .scope module, "f2" "fullsubtraction" 9 13, 10 1 0, S_0x5583bf1970a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x5583bf1d4c10 .functor OR 1, L_0x5583bf1d4820, L_0x5583bf1d4b00, C4<0>, C4<0>;
+v0x5583bf19b0d0_0 .net "A", 0 0, L_0x5583bf1d4ca0; 1 drivers
+v0x5583bf19b190_0 .net "B", 0 0, L_0x5583bf1d4e10; 1 drivers
+v0x5583bf19b260_0 .net "BorrowIN", 0 0, L_0x5583bf1d4f40; 1 drivers
+v0x5583bf19b360_0 .net "BorrowOut", 0 0, L_0x5583bf1d4c10; 1 drivers
+v0x5583bf19b400_0 .net "Difference", 0 0, L_0x5583bf1d48e0; 1 drivers
+v0x5583bf19b4f0_0 .net "tempB1", 0 0, L_0x5583bf1d4820; 1 drivers
+v0x5583bf19b5c0_0 .net "tempB2", 0 0, L_0x5583bf1d4b00; 1 drivers
+v0x5583bf19b690_0 .net "tempD", 0 0, L_0x5583bf1d4720; 1 drivers
+S_0x5583bf19a2b0 .scope module, "hf1" "halfsubtraction" 10 8, 11 1 0, S_0x5583bf19a0a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d4720 .functor XOR 1, L_0x5583bf1d4ca0, L_0x5583bf1d4e10, C4<0>, C4<0>;
+L_0x5583bf1d4790 .functor NOT 1, L_0x5583bf1d4ca0, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d4820 .functor AND 1, L_0x5583bf1d4790, L_0x5583bf1d4e10, C4<1>, C4<1>;
+v0x5583bf19a530_0 .net "A", 0 0, L_0x5583bf1d4ca0; alias, 1 drivers
+v0x5583bf19a5f0_0 .net "B", 0 0, L_0x5583bf1d4e10; alias, 1 drivers
+v0x5583bf19a6b0_0 .net "Borrow", 0 0, L_0x5583bf1d4820; alias, 1 drivers
+v0x5583bf19a780_0 .net "Difference", 0 0, L_0x5583bf1d4720; alias, 1 drivers
+v0x5583bf19a840_0 .net "notA", 0 0, L_0x5583bf1d4790; 1 drivers
+S_0x5583bf19a9d0 .scope module, "hf2" "halfsubtraction" 10 9, 11 1 0, S_0x5583bf19a0a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d48e0 .functor XOR 1, L_0x5583bf1d4720, L_0x5583bf1d4f40, C4<0>, C4<0>;
+L_0x5583bf1d4a70 .functor NOT 1, L_0x5583bf1d4720, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d4b00 .functor AND 1, L_0x5583bf1d4a70, L_0x5583bf1d4f40, C4<1>, C4<1>;
+v0x5583bf19ac40_0 .net "A", 0 0, L_0x5583bf1d4720; alias, 1 drivers
+v0x5583bf19ad10_0 .net "B", 0 0, L_0x5583bf1d4f40; alias, 1 drivers
+v0x5583bf19adb0_0 .net "Borrow", 0 0, L_0x5583bf1d4b00; alias, 1 drivers
+v0x5583bf19ae80_0 .net "Difference", 0 0, L_0x5583bf1d48e0; alias, 1 drivers
+v0x5583bf19af40_0 .net "notA", 0 0, L_0x5583bf1d4a70; 1 drivers
+S_0x5583bf19b780 .scope module, "f3" "fullsubtraction" 9 14, 10 1 0, S_0x5583bf1970a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "BorrowIN";
+ .port_info 3 /OUTPUT 1 "Difference";
+ .port_info 4 /OUTPUT 1 "BorrowOut";
+L_0x5583bf1d5640 .functor OR 1, L_0x5583bf1d5200, L_0x5583bf1d5530, C4<0>, C4<0>;
+v0x5583bf19c820_0 .net "A", 0 0, L_0x5583bf1d56f0; 1 drivers
+v0x5583bf19c8e0_0 .net "B", 0 0, L_0x5583bf1d5820; 1 drivers
+v0x5583bf19c9b0_0 .net "BorrowIN", 0 0, L_0x5583bf1d5bc0; 1 drivers
+v0x5583bf19cab0_0 .net "BorrowOut", 0 0, L_0x5583bf1d5640; alias, 1 drivers
+v0x5583bf19cb50_0 .net "Difference", 0 0, L_0x5583bf1d5310; 1 drivers
+v0x5583bf19cc40_0 .net "tempB1", 0 0, L_0x5583bf1d5200; 1 drivers
+v0x5583bf19cd10_0 .net "tempB2", 0 0, L_0x5583bf1d5530; 1 drivers
+v0x5583bf19cde0_0 .net "tempD", 0 0, L_0x5583bf1d50c0; 1 drivers
+S_0x5583bf19b9e0 .scope module, "hf1" "halfsubtraction" 10 8, 11 1 0, S_0x5583bf19b780;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d50c0 .functor XOR 1, L_0x5583bf1d56f0, L_0x5583bf1d5820, C4<0>, C4<0>;
+L_0x5583bf1d5170 .functor NOT 1, L_0x5583bf1d56f0, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d5200 .functor AND 1, L_0x5583bf1d5170, L_0x5583bf1d5820, C4<1>, C4<1>;
+v0x5583bf19bc60_0 .net "A", 0 0, L_0x5583bf1d56f0; alias, 1 drivers
+v0x5583bf19bd40_0 .net "B", 0 0, L_0x5583bf1d5820; alias, 1 drivers
+v0x5583bf19be00_0 .net "Borrow", 0 0, L_0x5583bf1d5200; alias, 1 drivers
+v0x5583bf19bed0_0 .net "Difference", 0 0, L_0x5583bf1d50c0; alias, 1 drivers
+v0x5583bf19bf90_0 .net "notA", 0 0, L_0x5583bf1d5170; 1 drivers
+S_0x5583bf19c120 .scope module, "hf2" "halfsubtraction" 10 9, 11 1 0, S_0x5583bf19b780;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Difference";
+ .port_info 3 /OUTPUT 1 "Borrow";
+L_0x5583bf1d5310 .functor XOR 1, L_0x5583bf1d50c0, L_0x5583bf1d5bc0, C4<0>, C4<0>;
+L_0x5583bf1d54a0 .functor NOT 1, L_0x5583bf1d50c0, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1d5530 .functor AND 1, L_0x5583bf1d54a0, L_0x5583bf1d5bc0, C4<1>, C4<1>;
+v0x5583bf19c390_0 .net "A", 0 0, L_0x5583bf1d50c0; alias, 1 drivers
+v0x5583bf19c460_0 .net "B", 0 0, L_0x5583bf1d5bc0; alias, 1 drivers
+v0x5583bf19c500_0 .net "Borrow", 0 0, L_0x5583bf1d5530; alias, 1 drivers
+v0x5583bf19c5d0_0 .net "Difference", 0 0, L_0x5583bf1d5310; alias, 1 drivers
+v0x5583bf19c690_0 .net "notA", 0 0, L_0x5583bf1d54a0; 1 drivers
+S_0x5583bf19fcc0 .scope module, "btod1" "BinaryToBCD" 4 76, 12 1 0, S_0x5583bf185fa0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 8 "binary";
+ .port_info 1 /OUTPUT 12 "bcd";
+L_0x7fc2b1a16450 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc2b1a16498 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f4f80 .functor AND 1, L_0x7fc2b1a16450, L_0x7fc2b1a16498, C4<1>, C4<1>;
+L_0x7fc2b1a164e0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc2b1a16528 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f50e0 .functor AND 1, L_0x7fc2b1a164e0, L_0x7fc2b1a16528, C4<1>, C4<1>;
+L_0x7fc2b1a16570 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc2b1a165b8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f5240 .functor AND 1, L_0x7fc2b1a16570, L_0x7fc2b1a165b8, C4<1>, C4<1>;
+L_0x7fc2b1a16600 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x7fc2b1a16648 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f5350 .functor AND 1, L_0x7fc2b1a16600, L_0x7fc2b1a16648, C4<1>, C4<1>;
+L_0x7fc2b1a16690 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1fad50 .functor OR 1, L_0x5583bf1fae10, L_0x7fc2b1a16690, C4<0>, C4<0>;
+v0x5583bf1a67f0_0 .net/2u *"_ivl_0", 0 0, L_0x7fc2b1a16450; 1 drivers
+v0x5583bf1a68f0_0 .net/2u *"_ivl_10", 0 0, L_0x7fc2b1a16570; 1 drivers
+v0x5583bf1a69d0_0 .net/2u *"_ivl_12", 0 0, L_0x7fc2b1a165b8; 1 drivers
+v0x5583bf1a6a90_0 .net *"_ivl_132", 0 0, L_0x5583bf1fad50; 1 drivers
+v0x5583bf1a6b70_0 .net *"_ivl_136", 0 0, L_0x5583bf1fae10; 1 drivers
+v0x5583bf1a6c50_0 .net/2u *"_ivl_137", 0 0, L_0x7fc2b1a16690; 1 drivers
+v0x5583bf1a6d30_0 .net *"_ivl_14", 0 0, L_0x5583bf1f5350; 1 drivers
+o0x7fc2b1a63ab8 .functor BUFZ 1, C4; HiZ drive
+; Elide local net with no drivers, v0x5583bf1a6e10_0 name=_ivl_141
+v0x5583bf1a6ef0_0 .net/2u *"_ivl_16", 0 0, L_0x7fc2b1a16600; 1 drivers
+v0x5583bf1a7060_0 .net/2u *"_ivl_18", 0 0, L_0x7fc2b1a16648; 1 drivers
+v0x5583bf1a7140_0 .net/2u *"_ivl_2", 0 0, L_0x7fc2b1a16498; 1 drivers
+v0x5583bf1a7220_0 .net/2u *"_ivl_4", 0 0, L_0x7fc2b1a164e0; 1 drivers
+v0x5583bf1a7300_0 .net/2u *"_ivl_6", 0 0, L_0x7fc2b1a16528; 1 drivers
+v0x5583bf1a73e0_0 .net *"_ivl_8", 0 0, L_0x5583bf1f5240; 1 drivers
+v0x5583bf1a74c0_0 .net "bcd", 11 0, L_0x5583bf1fa930; alias, 1 drivers
+v0x5583bf1a75a0_0 .net "binary", 7 0, L_0x5583bf1f4600; alias, 1 drivers
+v0x5583bf1a7680_0 .net "dab1", 3 0, L_0x5583bf1f5d20; 1 drivers
+v0x5583bf1a7760_0 .net "dab2", 3 0, L_0x5583bf1f6a20; 1 drivers
+v0x5583bf1a7840_0 .net "dab3", 3 0, L_0x5583bf1f7660; 1 drivers
+v0x5583bf1a7920_0 .net "dab4", 3 0, L_0x5583bf1fb320; 1 drivers
+v0x5583bf1a7a00_0 .net "dab5", 3 0, L_0x5583bf1f8dd0; 1 drivers
+v0x5583bf1a7ae0_0 .net "empty1", 0 0, L_0x5583bf1f4f80; 1 drivers
+v0x5583bf1a7b80_0 .net "empty2", 0 0, L_0x5583bf1f50e0; 1 drivers
+L_0x5583bf1f5b40 .part L_0x5583bf1f4600, 7, 1;
+L_0x5583bf1f5be0 .part L_0x5583bf1f4600, 6, 1;
+L_0x5583bf1f5c80 .part L_0x5583bf1f4600, 5, 1;
+L_0x5583bf1f5d20 .concat8 [ 1 1 1 1], L_0x5583bf1f5780, L_0x5583bf1f58b0, L_0x5583bf1f5a10, L_0x5583bf1f5a80;
+L_0x5583bf1f6630 .part L_0x5583bf1f5d20, 1, 1;
+L_0x5583bf1f6760 .part L_0x5583bf1f5d20, 2, 1;
+L_0x5583bf1f6800 .part L_0x5583bf1f5d20, 3, 1;
+L_0x5583bf1f6930 .part L_0x5583bf1f4600, 4, 1;
+L_0x5583bf1f6a20 .concat8 [ 1 1 1 1], L_0x5583bf1f6270, L_0x5583bf1f63a0, L_0x5583bf1f6500, L_0x5583bf1f6570;
+L_0x5583bf1f71f0 .part L_0x5583bf1f6a20, 1, 1;
+L_0x5583bf1f7380 .part L_0x5583bf1f6a20, 2, 1;
+L_0x5583bf1f7420 .part L_0x5583bf1f6a20, 3, 1;
+L_0x5583bf1f75c0 .part L_0x5583bf1f4600, 3, 1;
+L_0x5583bf1f7660 .concat8 [ 1 1 1 1], L_0x5583bf1f6f70, L_0x5583bf1f7050, L_0x5583bf1f70c0, L_0x5583bf1f7130;
+L_0x5583bf1f7f70 .part L_0x5583bf1f5d20, 0, 1;
+L_0x5583bf1f8010 .part L_0x5583bf1f6a20, 0, 1;
+L_0x5583bf1f8140 .part L_0x5583bf1f7660, 0, 1;
+L_0x5583bf1f8a10 .part L_0x5583bf1f7660, 1, 1;
+L_0x5583bf1f8be0 .part L_0x5583bf1f7660, 2, 1;
+L_0x5583bf1f8c80 .part L_0x5583bf1f7660, 3, 1;
+L_0x5583bf1f8b40 .part L_0x5583bf1f4600, 2, 1;
+L_0x5583bf1f8dd0 .concat8 [ 1 1 1 1], L_0x5583bf1f85f0, L_0x5583bf1f8720, L_0x5583bf1f8880, L_0x5583bf1f88f0;
+L_0x5583bf1f9740 .part L_0x5583bf1fb320, 1, 1;
+L_0x5583bf1f97e0 .part L_0x5583bf1fb320, 2, 1;
+L_0x5583bf1f9950 .part L_0x5583bf1fb320, 3, 1;
+L_0x5583bf1f99f0 .part L_0x5583bf1f8dd0, 0, 1;
+L_0x5583bf1fa3a0 .part L_0x5583bf1f8dd0, 1, 1;
+L_0x5583bf1fa4d0 .part L_0x5583bf1f8dd0, 2, 1;
+L_0x5583bf1fa6f0 .part L_0x5583bf1f8dd0, 3, 1;
+L_0x5583bf1fa790 .part L_0x5583bf1f4600, 1, 1;
+LS_0x5583bf1fa930_0_0 .concat8 [ 1 1 1 1], L_0x5583bf1fad50, L_0x5583bf1fa280, L_0x5583bf1fa210, L_0x5583bf1fa0b0;
+LS_0x5583bf1fa930_0_4 .concat8 [ 1 1 1 1], L_0x5583bf1f9f80, L_0x5583bf1f9620, L_0x5583bf1f95b0, L_0x5583bf1f9450;
+LS_0x5583bf1fa930_0_8 .concat8 [ 1 1 1 1], L_0x5583bf1f9320, L_0x5583bf1f7bb0, L_0x5583bf1f5350, L_0x5583bf1f5240;
+L_0x5583bf1fa930 .concat8 [ 4 4 4 0], LS_0x5583bf1fa930_0_0, LS_0x5583bf1fa930_0_4, LS_0x5583bf1fa930_0_8;
+L_0x5583bf1fae10 .part L_0x5583bf1f4600, 0, 1;
+L_0x5583bf1fb320 .concat [ 1 1 1 1], o0x7fc2b1a63ab8, L_0x5583bf1f7ce0, L_0x5583bf1f7e40, L_0x5583bf1f7eb0;
+S_0x5583bf19fe70 .scope module, "d1t" "dabble" 12 14, 13 1 0, S_0x5583bf19fcc0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x5583bf1f5460 .functor XOR 1, L_0x5583bf1f4f80, L_0x5583bf1f5c80, C4<0>, C4<0>;
+L_0x5583bf1f54d0 .functor NOR 1, L_0x5583bf1f4f80, L_0x5583bf1f5b40, C4<0>, C4<0>;
+L_0x5583bf1f5540 .functor XOR 1, L_0x5583bf1f4f80, L_0x5583bf1f5be0, C4<0>, C4<0>;
+L_0x5583bf1f55b0 .functor NOR 1, L_0x5583bf1f5460, L_0x5583bf1f5540, C4<0>, C4<0>;
+L_0x5583bf1f5670 .functor NOR 1, L_0x5583bf1f55b0, L_0x5583bf1f54d0, C4<0>, C4<0>;
+L_0x5583bf1f5780 .functor BUF 1, L_0x5583bf1f5670, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f5840 .functor OR 1, L_0x5583bf1f5460, L_0x5583bf1f54d0, C4<0>, C4<0>;
+L_0x5583bf1f58b0 .functor NOR 1, L_0x5583bf1f5840, L_0x5583bf1f5be0, C4<0>, C4<0>;
+L_0x5583bf1f5a10 .functor AND 1, L_0x5583bf1f5840, L_0x5583bf1f5540, C4<1>, C4<1>;
+L_0x5583bf1f5a80 .functor XOR 1, L_0x5583bf1f5670, L_0x5583bf1f5c80, C4<0>, C4<0>;
+v0x5583bf1a0190_0 .net "A", 0 0, L_0x5583bf1f4f80; alias, 1 drivers
+v0x5583bf1a0270_0 .net "B", 0 0, L_0x5583bf1f5b40; 1 drivers
+v0x5583bf1a0330_0 .net "C", 0 0, L_0x5583bf1f5be0; 1 drivers
+v0x5583bf1a03d0_0 .net "D", 0 0, L_0x5583bf1f5c80; 1 drivers
+v0x5583bf1a0490_0 .net "E", 0 0, L_0x5583bf1f5a80; 1 drivers
+v0x5583bf1a05a0_0 .net "X", 0 0, L_0x5583bf1f5780; 1 drivers
+v0x5583bf1a0660_0 .net "Y", 0 0, L_0x5583bf1f58b0; 1 drivers
+v0x5583bf1a0720_0 .net "Z", 0 0, L_0x5583bf1f5a10; 1 drivers
+v0x5583bf1a07e0_0 .net "nor1", 0 0, L_0x5583bf1f54d0; 1 drivers
+v0x5583bf1a08a0_0 .net "nor2", 0 0, L_0x5583bf1f55b0; 1 drivers
+v0x5583bf1a0960_0 .net "nor3", 0 0, L_0x5583bf1f5670; 1 drivers
+v0x5583bf1a0a20_0 .net "or1", 0 0, L_0x5583bf1f5840; 1 drivers
+v0x5583bf1a0ae0_0 .net "xor1", 0 0, L_0x5583bf1f5460; 1 drivers
+v0x5583bf1a0ba0_0 .net "xor2", 0 0, L_0x5583bf1f5540; 1 drivers
+S_0x5583bf1a0d60 .scope module, "d2u" "dabble" 12 23, 13 1 0, S_0x5583bf19fcc0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x5583bf1f5eb0 .functor XOR 1, L_0x5583bf1f6630, L_0x5583bf1f6930, C4<0>, C4<0>;
+L_0x5583bf1f5f20 .functor NOR 1, L_0x5583bf1f6630, L_0x5583bf1f6760, C4<0>, C4<0>;
+L_0x5583bf1f5fe0 .functor XOR 1, L_0x5583bf1f6630, L_0x5583bf1f6800, C4<0>, C4<0>;
+L_0x5583bf1f6050 .functor NOR 1, L_0x5583bf1f5eb0, L_0x5583bf1f5fe0, C4<0>, C4<0>;
+L_0x5583bf1f6160 .functor NOR 1, L_0x5583bf1f6050, L_0x5583bf1f5f20, C4<0>, C4<0>;
+L_0x5583bf1f6270 .functor BUF 1, L_0x5583bf1f6160, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f6330 .functor OR 1, L_0x5583bf1f5eb0, L_0x5583bf1f5f20, C4<0>, C4<0>;
+L_0x5583bf1f63a0 .functor NOR 1, L_0x5583bf1f6330, L_0x5583bf1f6800, C4<0>, C4<0>;
+L_0x5583bf1f6500 .functor AND 1, L_0x5583bf1f6330, L_0x5583bf1f5fe0, C4<1>, C4<1>;
+L_0x5583bf1f6570 .functor XOR 1, L_0x5583bf1f6160, L_0x5583bf1f6930, C4<0>, C4<0>;
+v0x5583bf1a1030_0 .net "A", 0 0, L_0x5583bf1f6630; 1 drivers
+v0x5583bf1a10f0_0 .net "B", 0 0, L_0x5583bf1f6760; 1 drivers
+v0x5583bf1a11b0_0 .net "C", 0 0, L_0x5583bf1f6800; 1 drivers
+v0x5583bf1a1250_0 .net "D", 0 0, L_0x5583bf1f6930; 1 drivers
+v0x5583bf1a1310_0 .net "E", 0 0, L_0x5583bf1f6570; 1 drivers
+v0x5583bf1a1420_0 .net "X", 0 0, L_0x5583bf1f6270; 1 drivers
+v0x5583bf1a14e0_0 .net "Y", 0 0, L_0x5583bf1f63a0; 1 drivers
+v0x5583bf1a15a0_0 .net "Z", 0 0, L_0x5583bf1f6500; 1 drivers
+v0x5583bf1a1660_0 .net "nor1", 0 0, L_0x5583bf1f5f20; 1 drivers
+v0x5583bf1a17b0_0 .net "nor2", 0 0, L_0x5583bf1f6050; 1 drivers
+v0x5583bf1a1870_0 .net "nor3", 0 0, L_0x5583bf1f6160; 1 drivers
+v0x5583bf1a1930_0 .net "or1", 0 0, L_0x5583bf1f6330; 1 drivers
+v0x5583bf1a19f0_0 .net "xor1", 0 0, L_0x5583bf1f5eb0; 1 drivers
+v0x5583bf1a1ab0_0 .net "xor2", 0 0, L_0x5583bf1f5fe0; 1 drivers
+S_0x5583bf1a1c70 .scope module, "d3v" "dabble" 12 32, 13 1 0, S_0x5583bf19fcc0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x5583bf1f6bb0 .functor XOR 1, L_0x5583bf1f71f0, L_0x5583bf1f75c0, C4<0>, C4<0>;
+L_0x5583bf1f6c20 .functor NOR 1, L_0x5583bf1f71f0, L_0x5583bf1f7380, C4<0>, C4<0>;
+L_0x5583bf1f6ce0 .functor XOR 1, L_0x5583bf1f71f0, L_0x5583bf1f7420, C4<0>, C4<0>;
+L_0x5583bf1f6d50 .functor NOR 1, L_0x5583bf1f6bb0, L_0x5583bf1f6ce0, C4<0>, C4<0>;
+L_0x5583bf1f6e60 .functor NOR 1, L_0x5583bf1f6d50, L_0x5583bf1f6c20, C4<0>, C4<0>;
+L_0x5583bf1f6f70 .functor BUF 1, L_0x5583bf1f6e60, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f6fe0 .functor OR 1, L_0x5583bf1f6bb0, L_0x5583bf1f6c20, C4<0>, C4<0>;
+L_0x5583bf1f7050 .functor NOR 1, L_0x5583bf1f6fe0, L_0x5583bf1f7420, C4<0>, C4<0>;
+L_0x5583bf1f70c0 .functor AND 1, L_0x5583bf1f6fe0, L_0x5583bf1f6ce0, C4<1>, C4<1>;
+L_0x5583bf1f7130 .functor XOR 1, L_0x5583bf1f6e60, L_0x5583bf1f75c0, C4<0>, C4<0>;
+v0x5583bf1a1f20_0 .net "A", 0 0, L_0x5583bf1f71f0; 1 drivers
+v0x5583bf1a1fe0_0 .net "B", 0 0, L_0x5583bf1f7380; 1 drivers
+v0x5583bf1a20a0_0 .net "C", 0 0, L_0x5583bf1f7420; 1 drivers
+v0x5583bf1a2140_0 .net "D", 0 0, L_0x5583bf1f75c0; 1 drivers
+v0x5583bf1a2200_0 .net "E", 0 0, L_0x5583bf1f7130; 1 drivers
+v0x5583bf1a2310_0 .net "X", 0 0, L_0x5583bf1f6f70; 1 drivers
+v0x5583bf1a23d0_0 .net "Y", 0 0, L_0x5583bf1f7050; 1 drivers
+v0x5583bf1a2490_0 .net "Z", 0 0, L_0x5583bf1f70c0; 1 drivers
+v0x5583bf1a2550_0 .net "nor1", 0 0, L_0x5583bf1f6c20; 1 drivers
+v0x5583bf1a26a0_0 .net "nor2", 0 0, L_0x5583bf1f6d50; 1 drivers
+v0x5583bf1a2760_0 .net "nor3", 0 0, L_0x5583bf1f6e60; 1 drivers
+v0x5583bf1a2820_0 .net "or1", 0 0, L_0x5583bf1f6fe0; 1 drivers
+v0x5583bf1a28e0_0 .net "xor1", 0 0, L_0x5583bf1f6bb0; 1 drivers
+v0x5583bf1a29a0_0 .net "xor2", 0 0, L_0x5583bf1f6ce0; 1 drivers
+S_0x5583bf1a2b60 .scope module, "d4w" "dabble" 12 41, 13 1 0, S_0x5583bf19fcc0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x5583bf1f7550 .functor XOR 1, L_0x5583bf1f50e0, L_0x5583bf1f8140, C4<0>, C4<0>;
+L_0x5583bf1f7870 .functor NOR 1, L_0x5583bf1f50e0, L_0x5583bf1f7f70, C4<0>, C4<0>;
+L_0x5583bf1f7970 .functor XOR 1, L_0x5583bf1f50e0, L_0x5583bf1f8010, C4<0>, C4<0>;
+L_0x5583bf1f79e0 .functor NOR 1, L_0x5583bf1f7550, L_0x5583bf1f7970, C4<0>, C4<0>;
+L_0x5583bf1f7aa0 .functor NOR 1, L_0x5583bf1f79e0, L_0x5583bf1f7870, C4<0>, C4<0>;
+L_0x5583bf1f7bb0 .functor BUF 1, L_0x5583bf1f7aa0, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f7c70 .functor OR 1, L_0x5583bf1f7550, L_0x5583bf1f7870, C4<0>, C4<0>;
+L_0x5583bf1f7ce0 .functor NOR 1, L_0x5583bf1f7c70, L_0x5583bf1f8010, C4<0>, C4<0>;
+L_0x5583bf1f7e40 .functor AND 1, L_0x5583bf1f7c70, L_0x5583bf1f7970, C4<1>, C4<1>;
+L_0x5583bf1f7eb0 .functor XOR 1, L_0x5583bf1f7aa0, L_0x5583bf1f8140, C4<0>, C4<0>;
+v0x5583bf1a2e10_0 .net "A", 0 0, L_0x5583bf1f50e0; alias, 1 drivers
+v0x5583bf1a2ef0_0 .net "B", 0 0, L_0x5583bf1f7f70; 1 drivers
+v0x5583bf1a2fb0_0 .net "C", 0 0, L_0x5583bf1f8010; 1 drivers
+v0x5583bf1a3050_0 .net "D", 0 0, L_0x5583bf1f8140; 1 drivers
+v0x5583bf1a3110_0 .net "E", 0 0, L_0x5583bf1f7eb0; 1 drivers
+v0x5583bf1a3220_0 .net "X", 0 0, L_0x5583bf1f7bb0; 1 drivers
+v0x5583bf1a32e0_0 .net "Y", 0 0, L_0x5583bf1f7ce0; 1 drivers
+v0x5583bf1a33a0_0 .net "Z", 0 0, L_0x5583bf1f7e40; 1 drivers
+v0x5583bf1a3460_0 .net "nor1", 0 0, L_0x5583bf1f7870; 1 drivers
+v0x5583bf1a35b0_0 .net "nor2", 0 0, L_0x5583bf1f79e0; 1 drivers
+v0x5583bf1a3670_0 .net "nor3", 0 0, L_0x5583bf1f7aa0; 1 drivers
+v0x5583bf1a3730_0 .net "or1", 0 0, L_0x5583bf1f7c70; 1 drivers
+v0x5583bf1a37f0_0 .net "xor1", 0 0, L_0x5583bf1f7550; 1 drivers
+v0x5583bf1a38b0_0 .net "xor2", 0 0, L_0x5583bf1f7970; 1 drivers
+S_0x5583bf1a3a70 .scope module, "d5x" "dabble" 12 50, 13 1 0, S_0x5583bf19fcc0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x5583bf1f8230 .functor XOR 1, L_0x5583bf1f8a10, L_0x5583bf1f8b40, C4<0>, C4<0>;
+L_0x5583bf1f82a0 .functor NOR 1, L_0x5583bf1f8a10, L_0x5583bf1f8be0, C4<0>, C4<0>;
+L_0x5583bf1f8360 .functor XOR 1, L_0x5583bf1f8a10, L_0x5583bf1f8c80, C4<0>, C4<0>;
+L_0x5583bf1f83d0 .functor NOR 1, L_0x5583bf1f8230, L_0x5583bf1f8360, C4<0>, C4<0>;
+L_0x5583bf1f84e0 .functor NOR 1, L_0x5583bf1f83d0, L_0x5583bf1f82a0, C4<0>, C4<0>;
+L_0x5583bf1f85f0 .functor BUF 1, L_0x5583bf1f84e0, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f86b0 .functor OR 1, L_0x5583bf1f8230, L_0x5583bf1f82a0, C4<0>, C4<0>;
+L_0x5583bf1f8720 .functor NOR 1, L_0x5583bf1f86b0, L_0x5583bf1f8c80, C4<0>, C4<0>;
+L_0x5583bf1f8880 .functor AND 1, L_0x5583bf1f86b0, L_0x5583bf1f8360, C4<1>, C4<1>;
+L_0x5583bf1f88f0 .functor XOR 1, L_0x5583bf1f84e0, L_0x5583bf1f8b40, C4<0>, C4<0>;
+v0x5583bf1a3d70_0 .net "A", 0 0, L_0x5583bf1f8a10; 1 drivers
+v0x5583bf1a3e50_0 .net "B", 0 0, L_0x5583bf1f8be0; 1 drivers
+v0x5583bf1a3f10_0 .net "C", 0 0, L_0x5583bf1f8c80; 1 drivers
+v0x5583bf1a3fb0_0 .net "D", 0 0, L_0x5583bf1f8b40; 1 drivers
+v0x5583bf1a4070_0 .net "E", 0 0, L_0x5583bf1f88f0; 1 drivers
+v0x5583bf1a4180_0 .net "X", 0 0, L_0x5583bf1f85f0; 1 drivers
+v0x5583bf1a4240_0 .net "Y", 0 0, L_0x5583bf1f8720; 1 drivers
+v0x5583bf1a4300_0 .net "Z", 0 0, L_0x5583bf1f8880; 1 drivers
+v0x5583bf1a43c0_0 .net "nor1", 0 0, L_0x5583bf1f82a0; 1 drivers
+v0x5583bf1a4510_0 .net "nor2", 0 0, L_0x5583bf1f83d0; 1 drivers
+v0x5583bf1a45d0_0 .net "nor3", 0 0, L_0x5583bf1f84e0; 1 drivers
+v0x5583bf1a4690_0 .net "or1", 0 0, L_0x5583bf1f86b0; 1 drivers
+v0x5583bf1a4750_0 .net "xor1", 0 0, L_0x5583bf1f8230; 1 drivers
+v0x5583bf1a4810_0 .net "xor2", 0 0, L_0x5583bf1f8360; 1 drivers
+S_0x5583bf1a49d0 .scope module, "d6y" "dabble" 12 59, 13 1 0, S_0x5583bf19fcc0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x5583bf1f8d20 .functor XOR 1, L_0x5583bf1f9740, L_0x5583bf1f99f0, C4<0>, C4<0>;
+L_0x5583bf1f8fd0 .functor NOR 1, L_0x5583bf1f9740, L_0x5583bf1f97e0, C4<0>, C4<0>;
+L_0x5583bf1f9090 .functor XOR 1, L_0x5583bf1f9740, L_0x5583bf1f9950, C4<0>, C4<0>;
+L_0x5583bf1f9100 .functor NOR 1, L_0x5583bf1f8d20, L_0x5583bf1f9090, C4<0>, C4<0>;
+L_0x5583bf1f9210 .functor NOR 1, L_0x5583bf1f9100, L_0x5583bf1f8fd0, C4<0>, C4<0>;
+L_0x5583bf1f9320 .functor BUF 1, L_0x5583bf1f9210, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1f93e0 .functor OR 1, L_0x5583bf1f8d20, L_0x5583bf1f8fd0, C4<0>, C4<0>;
+L_0x5583bf1f9450 .functor NOR 1, L_0x5583bf1f93e0, L_0x5583bf1f9950, C4<0>, C4<0>;
+L_0x5583bf1f95b0 .functor AND 1, L_0x5583bf1f93e0, L_0x5583bf1f9090, C4<1>, C4<1>;
+L_0x5583bf1f9620 .functor XOR 1, L_0x5583bf1f9210, L_0x5583bf1f99f0, C4<0>, C4<0>;
+v0x5583bf1a4c80_0 .net "A", 0 0, L_0x5583bf1f9740; 1 drivers
+v0x5583bf1a4d60_0 .net "B", 0 0, L_0x5583bf1f97e0; 1 drivers
+v0x5583bf1a4e20_0 .net "C", 0 0, L_0x5583bf1f9950; 1 drivers
+v0x5583bf1a4ec0_0 .net "D", 0 0, L_0x5583bf1f99f0; 1 drivers
+v0x5583bf1a4f80_0 .net "E", 0 0, L_0x5583bf1f9620; 1 drivers
+v0x5583bf1a5090_0 .net "X", 0 0, L_0x5583bf1f9320; 1 drivers
+v0x5583bf1a5150_0 .net "Y", 0 0, L_0x5583bf1f9450; 1 drivers
+v0x5583bf1a5210_0 .net "Z", 0 0, L_0x5583bf1f95b0; 1 drivers
+v0x5583bf1a52d0_0 .net "nor1", 0 0, L_0x5583bf1f8fd0; 1 drivers
+v0x5583bf1a5420_0 .net "nor2", 0 0, L_0x5583bf1f9100; 1 drivers
+v0x5583bf1a54e0_0 .net "nor3", 0 0, L_0x5583bf1f9210; 1 drivers
+v0x5583bf1a55a0_0 .net "or1", 0 0, L_0x5583bf1f93e0; 1 drivers
+v0x5583bf1a5660_0 .net "xor1", 0 0, L_0x5583bf1f8d20; 1 drivers
+v0x5583bf1a5720_0 .net "xor2", 0 0, L_0x5583bf1f9090; 1 drivers
+S_0x5583bf1a58e0 .scope module, "d7z" "dabble" 12 68, 13 1 0, S_0x5583bf19fcc0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "C";
+ .port_info 3 /INPUT 1 "D";
+ .port_info 4 /OUTPUT 1 "X";
+ .port_info 5 /OUTPUT 1 "Y";
+ .port_info 6 /OUTPUT 1 "Z";
+ .port_info 7 /OUTPUT 1 "E";
+L_0x5583bf1f9bc0 .functor XOR 1, L_0x5583bf1fa3a0, L_0x5583bf1fa790, C4<0>, C4<0>;
+L_0x5583bf1f9c30 .functor NOR 1, L_0x5583bf1fa3a0, L_0x5583bf1fa4d0, C4<0>, C4<0>;
+L_0x5583bf1f9cf0 .functor XOR 1, L_0x5583bf1fa3a0, L_0x5583bf1fa6f0, C4<0>, C4<0>;
+L_0x5583bf1f9d60 .functor NOR 1, L_0x5583bf1f9bc0, L_0x5583bf1f9cf0, C4<0>, C4<0>;
+L_0x5583bf1f9e70 .functor NOR 1, L_0x5583bf1f9d60, L_0x5583bf1f9c30, C4<0>, C4<0>;
+L_0x5583bf1f9f80 .functor BUF 1, L_0x5583bf1f9e70, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1fa040 .functor OR 1, L_0x5583bf1f9bc0, L_0x5583bf1f9c30, C4<0>, C4<0>;
+L_0x5583bf1fa0b0 .functor NOR 1, L_0x5583bf1fa040, L_0x5583bf1fa6f0, C4<0>, C4<0>;
+L_0x5583bf1fa210 .functor AND 1, L_0x5583bf1fa040, L_0x5583bf1f9cf0, C4<1>, C4<1>;
+L_0x5583bf1fa280 .functor XOR 1, L_0x5583bf1f9e70, L_0x5583bf1fa790, C4<0>, C4<0>;
+v0x5583bf1a5b90_0 .net "A", 0 0, L_0x5583bf1fa3a0; 1 drivers
+v0x5583bf1a5c70_0 .net "B", 0 0, L_0x5583bf1fa4d0; 1 drivers
+v0x5583bf1a5d30_0 .net "C", 0 0, L_0x5583bf1fa6f0; 1 drivers
+v0x5583bf1a5dd0_0 .net "D", 0 0, L_0x5583bf1fa790; 1 drivers
+v0x5583bf1a5e90_0 .net "E", 0 0, L_0x5583bf1fa280; 1 drivers
+v0x5583bf1a5fa0_0 .net "X", 0 0, L_0x5583bf1f9f80; 1 drivers
+v0x5583bf1a6060_0 .net "Y", 0 0, L_0x5583bf1fa0b0; 1 drivers
+v0x5583bf1a6120_0 .net "Z", 0 0, L_0x5583bf1fa210; 1 drivers
+v0x5583bf1a61e0_0 .net "nor1", 0 0, L_0x5583bf1f9c30; 1 drivers
+v0x5583bf1a6330_0 .net "nor2", 0 0, L_0x5583bf1f9d60; 1 drivers
+v0x5583bf1a63f0_0 .net "nor3", 0 0, L_0x5583bf1f9e70; 1 drivers
+v0x5583bf1a64b0_0 .net "or1", 0 0, L_0x5583bf1fa040; 1 drivers
+v0x5583bf1a6570_0 .net "xor1", 0 0, L_0x5583bf1f9bc0; 1 drivers
+v0x5583bf1a6630_0 .net "xor2", 0 0, L_0x5583bf1f9cf0; 1 drivers
+S_0x5583bf1a7c40 .scope module, "lU" "logicUnit" 4 21, 14 1 0, S_0x5583bf185fa0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 3 "opCode";
+ .port_info 1 /INPUT 4 "A";
+ .port_info 2 /INPUT 4 "B";
+ .port_info 3 /OUTPUT 4 "resultA";
+ .port_info 4 /OUTPUT 4 "resultO";
+ .port_info 5 /OUTPUT 4 "resultX";
+L_0x5583bf1d7d20 .functor AND 1, L_0x5583bf1d7d90, L_0x5583bf1d7e80, C4<1>, C4<1>;
+L_0x5583bf1d7f70 .functor AND 1, L_0x5583bf1d7fe0, L_0x5583bf1d80d0, C4<1>, C4<1>;
+L_0x5583bf1d81c0 .functor AND 1, L_0x5583bf1d8230, L_0x5583bf1d8320, C4<1>, C4<1>;
+L_0x5583bf1d85e0 .functor AND 1, L_0x5583bf1d86a0, L_0x5583bf1d87e0, C4<1>, C4<1>;
+L_0x5583bf1d88d0 .functor OR 1, L_0x5583bf1d8940, L_0x5583bf1d8a90, C4<0>, C4<0>;
+L_0x5583bf1d8b30 .functor OR 1, L_0x5583bf1d8be0, L_0x5583bf1d8d40, C4<0>, C4<0>;
+L_0x5583bf1d8e30 .functor OR 1, L_0x5583bf1d8ea0, L_0x5583bf1d9010, C4<0>, C4<0>;
+L_0x5583bf1d8cd0 .functor OR 1, L_0x5583bf1d93c0, L_0x5583bf1d94b0, C4<0>, C4<0>;
+L_0x5583bf1d9640 .functor XOR 1, L_0x5583bf1d96b0, L_0x5583bf1d97a0, C4<0>, C4<0>;
+L_0x5583bf1d9940 .functor XOR 1, L_0x5583bf1d95a0, L_0x5583bf1d99b0, C4<0>, C4<0>;
+L_0x5583bf1d9b60 .functor XOR 1, L_0x5583bf1d9bd0, L_0x5583bf1d9cc0, C4<0>, C4<0>;
+L_0x5583bf1da010 .functor XOR 1, L_0x5583bf1da140, L_0x5583bf1da310, C4<0>, C4<0>;
+L_0x5583bf1da400 .functor AND 1, L_0x5583bf1da470, L_0x5583bf1da650, C4<1>, C4<1>;
+L_0x5583bf1da790 .functor AND 1, L_0x5583bf1da880, L_0x5583bf1daac0, C4<1>, C4<1>;
+L_0x5583bf1da0d0 .functor AND 1, L_0x5583bf1dabb0, L_0x5583bf1dadb0, C4<1>, C4<1>;
+L_0x5583bf1db150 .functor AND 1, L_0x5583bf1db2a0, L_0x5583bf1db390, C4<1>, C4<1>;
+L_0x5583bf1db030 .functor AND 1, L_0x5583bf1db0a0, L_0x5583bf1db5b0, C4<1>, C4<1>;
+L_0x5583bf1db830 .functor AND 1, L_0x5583bf1db940, L_0x5583bf1dba30, C4<1>, C4<1>;
+L_0x5583bf1dbc70 .functor AND 1, L_0x5583bf1dbce0, L_0x5583bf1dbdd0, C4<1>, C4<1>;
+L_0x5583bf1dc1b0 .functor AND 1, L_0x5583bf1db8a0, L_0x5583bf1dc4e0, C4<1>, C4<1>;
+L_0x5583bf1dc5d0 .functor AND 1, L_0x5583bf1dc640, L_0x5583bf1dc8b0, C4<1>, C4<1>;
+L_0x5583bf1dc9f0 .functor AND 1, L_0x5583bf1dcb20, L_0x5583bf1dcda0, C4<1>, C4<1>;
+L_0x5583bf1dce90 .functor AND 1, L_0x5583bf1dcf00, L_0x5583bf1dd190, C4<1>, C4<1>;
+L_0x5583bf1dd5c0 .functor AND 1, L_0x5583bf1dd750, L_0x5583bf1dd840, C4<1>, C4<1>;
+v0x5583bf1a7ea0_0 .net "A", 3 0, v0x5583bf1cf130_0; alias, 1 drivers
+v0x5583bf1a7f40_0 .net "B", 3 0, v0x5583bf1cf210_0; alias, 1 drivers
+v0x5583bf1a8000_0 .net *"_ivl_0", 0 0, L_0x5583bf1d7d20; 1 drivers
+v0x5583bf1a80f0_0 .net *"_ivl_100", 0 0, L_0x5583bf1db030; 1 drivers
+v0x5583bf1a81d0_0 .net *"_ivl_103", 0 0, L_0x5583bf1db0a0; 1 drivers
+v0x5583bf1a8300_0 .net *"_ivl_105", 0 0, L_0x5583bf1db5b0; 1 drivers
+v0x5583bf1a83e0_0 .net *"_ivl_106", 0 0, L_0x5583bf1db830; 1 drivers
+v0x5583bf1a84c0_0 .net *"_ivl_109", 0 0, L_0x5583bf1db940; 1 drivers
+v0x5583bf1a85a0_0 .net *"_ivl_11", 0 0, L_0x5583bf1d80d0; 1 drivers
+v0x5583bf1a8680_0 .net *"_ivl_111", 0 0, L_0x5583bf1dba30; 1 drivers
+v0x5583bf1a8760_0 .net *"_ivl_112", 0 0, L_0x5583bf1dbc70; 1 drivers
+v0x5583bf1a8840_0 .net *"_ivl_115", 0 0, L_0x5583bf1dbce0; 1 drivers
+v0x5583bf1a8920_0 .net *"_ivl_117", 0 0, L_0x5583bf1dbdd0; 1 drivers
+v0x5583bf1a8a00_0 .net *"_ivl_118", 0 0, L_0x5583bf1dc1b0; 1 drivers
+v0x5583bf1a8ae0_0 .net *"_ivl_12", 0 0, L_0x5583bf1d81c0; 1 drivers
+v0x5583bf1a8bc0_0 .net *"_ivl_122", 0 0, L_0x5583bf1db8a0; 1 drivers
+v0x5583bf1a8ca0_0 .net *"_ivl_124", 0 0, L_0x5583bf1dc4e0; 1 drivers
+v0x5583bf1a8d80_0 .net *"_ivl_125", 0 0, L_0x5583bf1dc5d0; 1 drivers
+v0x5583bf1a8e60_0 .net *"_ivl_128", 0 0, L_0x5583bf1dc640; 1 drivers
+v0x5583bf1a8f40_0 .net *"_ivl_130", 0 0, L_0x5583bf1dc8b0; 1 drivers
+v0x5583bf1a9020_0 .net *"_ivl_131", 0 0, L_0x5583bf1dc9f0; 1 drivers
+v0x5583bf1a9100_0 .net *"_ivl_134", 0 0, L_0x5583bf1dcb20; 1 drivers
+v0x5583bf1a91e0_0 .net *"_ivl_136", 0 0, L_0x5583bf1dcda0; 1 drivers
+v0x5583bf1a92c0_0 .net *"_ivl_137", 0 0, L_0x5583bf1dce90; 1 drivers
+v0x5583bf1a93a0_0 .net *"_ivl_140", 0 0, L_0x5583bf1dcf00; 1 drivers
+v0x5583bf1a9480_0 .net *"_ivl_142", 0 0, L_0x5583bf1dd190; 1 drivers
+v0x5583bf1a9560_0 .net *"_ivl_143", 0 0, L_0x5583bf1dd5c0; 1 drivers
+v0x5583bf1a9640_0 .net *"_ivl_147", 0 0, L_0x5583bf1dd750; 1 drivers
+v0x5583bf1a9720_0 .net *"_ivl_149", 0 0, L_0x5583bf1dd840; 1 drivers
+v0x5583bf1a9800_0 .net *"_ivl_15", 0 0, L_0x5583bf1d8230; 1 drivers
+v0x5583bf1a98e0_0 .net *"_ivl_17", 0 0, L_0x5583bf1d8320; 1 drivers
+v0x5583bf1a99c0_0 .net *"_ivl_18", 0 0, L_0x5583bf1d85e0; 1 drivers
+v0x5583bf1a9aa0_0 .net *"_ivl_22", 0 0, L_0x5583bf1d86a0; 1 drivers
+v0x5583bf1a9d90_0 .net *"_ivl_24", 0 0, L_0x5583bf1d87e0; 1 drivers
+v0x5583bf1a9e70_0 .net *"_ivl_25", 0 0, L_0x5583bf1d88d0; 1 drivers
+v0x5583bf1a9f50_0 .net *"_ivl_28", 0 0, L_0x5583bf1d8940; 1 drivers
+v0x5583bf1aa030_0 .net *"_ivl_3", 0 0, L_0x5583bf1d7d90; 1 drivers
+v0x5583bf1aa110_0 .net *"_ivl_30", 0 0, L_0x5583bf1d8a90; 1 drivers
+v0x5583bf1aa1f0_0 .net *"_ivl_31", 0 0, L_0x5583bf1d8b30; 1 drivers
+v0x5583bf1aa2d0_0 .net *"_ivl_34", 0 0, L_0x5583bf1d8be0; 1 drivers
+v0x5583bf1aa3b0_0 .net *"_ivl_36", 0 0, L_0x5583bf1d8d40; 1 drivers
+v0x5583bf1aa490_0 .net *"_ivl_37", 0 0, L_0x5583bf1d8e30; 1 drivers
+v0x5583bf1aa570_0 .net *"_ivl_40", 0 0, L_0x5583bf1d8ea0; 1 drivers
+v0x5583bf1aa650_0 .net *"_ivl_42", 0 0, L_0x5583bf1d9010; 1 drivers
+v0x5583bf1aa730_0 .net *"_ivl_43", 0 0, L_0x5583bf1d8cd0; 1 drivers
+v0x5583bf1aa810_0 .net *"_ivl_47", 0 0, L_0x5583bf1d93c0; 1 drivers
+v0x5583bf1aa8f0_0 .net *"_ivl_49", 0 0, L_0x5583bf1d94b0; 1 drivers
+v0x5583bf1aa9d0_0 .net *"_ivl_5", 0 0, L_0x5583bf1d7e80; 1 drivers
+v0x5583bf1aaab0_0 .net *"_ivl_50", 0 0, L_0x5583bf1d9640; 1 drivers
+v0x5583bf1aab90_0 .net *"_ivl_53", 0 0, L_0x5583bf1d96b0; 1 drivers
+v0x5583bf1aac70_0 .net *"_ivl_55", 0 0, L_0x5583bf1d97a0; 1 drivers
+v0x5583bf1aad50_0 .net *"_ivl_56", 0 0, L_0x5583bf1d9940; 1 drivers
+v0x5583bf1aae30_0 .net *"_ivl_59", 0 0, L_0x5583bf1d95a0; 1 drivers
+v0x5583bf1aaf10_0 .net *"_ivl_6", 0 0, L_0x5583bf1d7f70; 1 drivers
+v0x5583bf1aaff0_0 .net *"_ivl_61", 0 0, L_0x5583bf1d99b0; 1 drivers
+v0x5583bf1ab0d0_0 .net *"_ivl_62", 0 0, L_0x5583bf1d9b60; 1 drivers
+v0x5583bf1ab1b0_0 .net *"_ivl_65", 0 0, L_0x5583bf1d9bd0; 1 drivers
+v0x5583bf1ab290_0 .net *"_ivl_67", 0 0, L_0x5583bf1d9cc0; 1 drivers
+v0x5583bf1ab370_0 .net *"_ivl_68", 0 0, L_0x5583bf1da010; 1 drivers
+v0x5583bf1ab450_0 .net *"_ivl_72", 0 0, L_0x5583bf1da140; 1 drivers
+v0x5583bf1ab530_0 .net *"_ivl_74", 0 0, L_0x5583bf1da310; 1 drivers
+v0x5583bf1ab610_0 .net *"_ivl_75", 0 0, L_0x5583bf1da400; 1 drivers
+v0x5583bf1ab6f0_0 .net *"_ivl_78", 0 0, L_0x5583bf1da470; 1 drivers
+v0x5583bf1ab7d0_0 .net *"_ivl_80", 0 0, L_0x5583bf1da650; 1 drivers
+v0x5583bf1ab8b0_0 .net *"_ivl_81", 0 0, L_0x5583bf1da790; 1 drivers
+v0x5583bf1abda0_0 .net *"_ivl_84", 0 0, L_0x5583bf1da880; 1 drivers
+v0x5583bf1abe80_0 .net *"_ivl_86", 0 0, L_0x5583bf1daac0; 1 drivers
+v0x5583bf1abf60_0 .net *"_ivl_87", 0 0, L_0x5583bf1da0d0; 1 drivers
+v0x5583bf1ac040_0 .net *"_ivl_9", 0 0, L_0x5583bf1d7fe0; 1 drivers
+v0x5583bf1ac120_0 .net *"_ivl_90", 0 0, L_0x5583bf1dabb0; 1 drivers
+v0x5583bf1ac200_0 .net *"_ivl_92", 0 0, L_0x5583bf1dadb0; 1 drivers
+v0x5583bf1ac2e0_0 .net *"_ivl_93", 0 0, L_0x5583bf1db150; 1 drivers
+v0x5583bf1ac3c0_0 .net *"_ivl_97", 0 0, L_0x5583bf1db2a0; 1 drivers
+v0x5583bf1ac4a0_0 .net *"_ivl_99", 0 0, L_0x5583bf1db390; 1 drivers
+v0x5583bf1ac580_0 .net "and1", 3 0, L_0x5583bf1d8450; 1 drivers
+v0x5583bf1ac660_0 .net "opCode", 2 0, L_0x5583bf1ddaf0; 1 drivers
+v0x5583bf1ac740_0 .net "or1", 3 0, L_0x5583bf1d9100; 1 drivers
+v0x5583bf1ac820_0 .net "resultA", 3 0, L_0x5583bf1daea0; alias, 1 drivers
+v0x5583bf1ac900_0 .net "resultO", 3 0, L_0x5583bf1dc020; alias, 1 drivers
+v0x5583bf1ac9e0_0 .net "resultX", 3 0, L_0x5583bf1dd280; alias, 1 drivers
+v0x5583bf1acac0_0 .net "xor1", 3 0, L_0x5583bf1d9e80; 1 drivers
+L_0x5583bf1d7d90 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1d7e80 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1d7fe0 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1d80d0 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1d8230 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1d8320 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1d8450 .concat8 [ 1 1 1 1], L_0x5583bf1d7d20, L_0x5583bf1d7f70, L_0x5583bf1d81c0, L_0x5583bf1d85e0;
+L_0x5583bf1d86a0 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1d87e0 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1d8940 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1d8a90 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1d8be0 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1d8d40 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1d8ea0 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1d9010 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1d9100 .concat8 [ 1 1 1 1], L_0x5583bf1d88d0, L_0x5583bf1d8b30, L_0x5583bf1d8e30, L_0x5583bf1d8cd0;
+L_0x5583bf1d93c0 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1d94b0 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1d96b0 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1d97a0 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1d95a0 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1d99b0 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1d9bd0 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1d9cc0 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1d9e80 .concat8 [ 1 1 1 1], L_0x5583bf1d9640, L_0x5583bf1d9940, L_0x5583bf1d9b60, L_0x5583bf1da010;
+L_0x5583bf1da140 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1da310 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1da470 .part L_0x5583bf1ddaf0, 0, 1;
+L_0x5583bf1da650 .part L_0x5583bf1d8450, 0, 1;
+L_0x5583bf1da880 .part L_0x5583bf1ddaf0, 0, 1;
+L_0x5583bf1daac0 .part L_0x5583bf1d8450, 1, 1;
+L_0x5583bf1dabb0 .part L_0x5583bf1ddaf0, 0, 1;
+L_0x5583bf1dadb0 .part L_0x5583bf1d8450, 2, 1;
+L_0x5583bf1daea0 .concat8 [ 1 1 1 1], L_0x5583bf1da400, L_0x5583bf1da790, L_0x5583bf1da0d0, L_0x5583bf1db150;
+L_0x5583bf1db2a0 .part L_0x5583bf1ddaf0, 0, 1;
+L_0x5583bf1db390 .part L_0x5583bf1d8450, 3, 1;
+L_0x5583bf1db0a0 .part L_0x5583bf1ddaf0, 1, 1;
+L_0x5583bf1db5b0 .part L_0x5583bf1d9100, 0, 1;
+L_0x5583bf1db940 .part L_0x5583bf1ddaf0, 1, 1;
+L_0x5583bf1dba30 .part L_0x5583bf1d9100, 1, 1;
+L_0x5583bf1dbce0 .part L_0x5583bf1ddaf0, 1, 1;
+L_0x5583bf1dbdd0 .part L_0x5583bf1d9100, 2, 1;
+L_0x5583bf1dc020 .concat8 [ 1 1 1 1], L_0x5583bf1db030, L_0x5583bf1db830, L_0x5583bf1dbc70, L_0x5583bf1dc1b0;
+L_0x5583bf1db8a0 .part L_0x5583bf1ddaf0, 1, 1;
+L_0x5583bf1dc4e0 .part L_0x5583bf1d9100, 3, 1;
+L_0x5583bf1dc640 .part L_0x5583bf1ddaf0, 2, 1;
+L_0x5583bf1dc8b0 .part L_0x5583bf1d9e80, 0, 1;
+L_0x5583bf1dcb20 .part L_0x5583bf1ddaf0, 2, 1;
+L_0x5583bf1dcda0 .part L_0x5583bf1d9e80, 1, 1;
+L_0x5583bf1dcf00 .part L_0x5583bf1ddaf0, 2, 1;
+L_0x5583bf1dd190 .part L_0x5583bf1d9e80, 2, 1;
+L_0x5583bf1dd280 .concat8 [ 1 1 1 1], L_0x5583bf1dc5d0, L_0x5583bf1dc9f0, L_0x5583bf1dce90, L_0x5583bf1dd5c0;
+L_0x5583bf1dd750 .part L_0x5583bf1ddaf0, 2, 1;
+L_0x5583bf1dd840 .part L_0x5583bf1d9e80, 3, 1;
+S_0x5583bf1acc60 .scope module, "mU" "multiplier" 4 22, 15 1 0, S_0x5583bf185fa0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /OUTPUT 8 "Y";
+L_0x5583bf1ddc20 .functor AND 1, L_0x5583bf1ddc90, L_0x5583bf1ddd30, C4<1>, C4<1>;
+L_0x5583bf1dde20 .functor AND 1, L_0x5583bf1dde90, L_0x5583bf1ddf80, C4<1>, C4<1>;
+L_0x5583bf1de070 .functor AND 1, L_0x5583bf1de0e0, L_0x5583bf1de1d0, C4<1>, C4<1>;
+L_0x5583bf1de2c0 .functor AND 1, L_0x5583bf1de330, L_0x5583bf1de420, C4<1>, C4<1>;
+L_0x7fc2b1a16018 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1deb00 .functor NOT 1, L_0x7fc2b1a16018, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1dec10 .functor AND 1, L_0x5583bf1dec80, L_0x5583bf1ded70, C4<1>, C4<1>;
+L_0x5583bf1dee60 .functor AND 1, L_0x5583bf1deed0, L_0x5583bf1df030, C4<1>, C4<1>;
+L_0x5583bf1df120 .functor AND 1, L_0x5583bf1df1e0, L_0x5583bf1df350, C4<1>, C4<1>;
+L_0x5583bf1defc0 .functor AND 1, L_0x5583bf1df700, L_0x5583bf1df7f0, C4<1>, C4<1>;
+L_0x5583bf1e1840 .functor AND 1, L_0x5583bf1e1c10, L_0x5583bf1df8e0, C4<1>, C4<1>;
+L_0x5583bf1e1d60 .functor AND 1, L_0x5583bf1e1dd0, L_0x5583bf1e1f30, C4<1>, C4<1>;
+L_0x5583bf1e1fd0 .functor AND 1, L_0x5583bf1e20b0, L_0x5583bf1e2270, C4<1>, C4<1>;
+L_0x5583bf1e2620 .functor AND 1, L_0x5583bf1e26e0, L_0x5583bf1e27d0, C4<1>, C4<1>;
+L_0x5583bf1e49a0 .functor AND 1, L_0x5583bf1e4f90, L_0x5583bf1e5030, C4<1>, C4<1>;
+L_0x5583bf1e2040 .functor AND 1, L_0x5583bf1e51e0, L_0x5583bf1e5280, C4<1>, C4<1>;
+L_0x5583bf1e5490 .functor AND 1, L_0x5583bf1e5590, L_0x5583bf1e5680, C4<1>, C4<1>;
+L_0x5583bf1e5990 .functor AND 1, L_0x5583bf1e5a50, L_0x5583bf1e5c80, C4<1>, C4<1>;
+L_0x7fc2b1a16138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1e7d60 .functor OR 1, L_0x5583bf1e83c0, L_0x7fc2b1a16138, C4<0>, C4<0>;
+L_0x7fc2b1a16180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1e85c0 .functor OR 1, L_0x5583bf1e8630, L_0x7fc2b1a16180, C4<0>, C4<0>;
+L_0x7fc2b1a161c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1e8770 .functor OR 1, L_0x5583bf1e8320, L_0x7fc2b1a161c8, C4<0>, C4<0>;
+L_0x7fc2b1a16210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1e8af0 .functor OR 1, L_0x5583bf1e8b60, L_0x7fc2b1a16210, C4<0>, C4<0>;
+L_0x7fc2b1a16258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1e8ca0 .functor OR 1, L_0x5583bf1e8dd0, L_0x7fc2b1a16258, C4<0>, C4<0>;
+L_0x7fc2b1a162a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1e90d0 .functor OR 1, L_0x5583bf1e9140, L_0x7fc2b1a162a0, C4<0>, C4<0>;
+L_0x7fc2b1a162e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1e9730 .functor OR 1, L_0x5583bf1e98c0, L_0x7fc2b1a162e8, C4<0>, C4<0>;
+v0x5583bf1bf100_0 .net "A", 3 0, v0x5583bf1cf130_0; alias, 1 drivers
+v0x5583bf1bf1e0_0 .net "B", 3 0, v0x5583bf1cf210_0; alias, 1 drivers
+v0x5583bf1bf330_0 .net "S0", 4 0, L_0x5583bf1e1ae0; 1 drivers
+v0x5583bf1bf3f0_0 .net "S1", 4 0, L_0x5583bf1e4ce0; 1 drivers
+v0x5583bf1bf4d0_0 .net "S2", 4 0, L_0x5583bf1e81f0; 1 drivers
+v0x5583bf1bf5b0_0 .net "Y", 7 0, L_0x5583bf1e9230; alias, 1 drivers
+v0x5583bf1bf690_0 .net *"_ivl_1", 0 0, L_0x5583bf1ddc20; 1 drivers
+v0x5583bf1bf770_0 .net *"_ivl_10", 0 0, L_0x5583bf1dde90; 1 drivers
+v0x5583bf1bf850_0 .net *"_ivl_101", 0 0, L_0x5583bf1e5030; 1 drivers
+v0x5583bf1bf9c0_0 .net *"_ivl_102", 0 0, L_0x5583bf1e2040; 1 drivers
+v0x5583bf1bfaa0_0 .net *"_ivl_105", 0 0, L_0x5583bf1e51e0; 1 drivers
+v0x5583bf1bfb80_0 .net *"_ivl_107", 0 0, L_0x5583bf1e5280; 1 drivers
+v0x5583bf1bfc60_0 .net *"_ivl_108", 0 0, L_0x5583bf1e5490; 1 drivers
+v0x5583bf1bfd40_0 .net *"_ivl_111", 0 0, L_0x5583bf1e5590; 1 drivers
+v0x5583bf1bfe20_0 .net *"_ivl_113", 0 0, L_0x5583bf1e5680; 1 drivers
+v0x5583bf1bff00_0 .net *"_ivl_114", 0 0, L_0x5583bf1e5990; 1 drivers
+v0x5583bf1bffe0_0 .net *"_ivl_118", 0 0, L_0x5583bf1e5a50; 1 drivers
+v0x5583bf1c00c0_0 .net *"_ivl_12", 0 0, L_0x5583bf1ddf80; 1 drivers
+v0x5583bf1c01a0_0 .net *"_ivl_120", 0 0, L_0x5583bf1e5c80; 1 drivers
+v0x5583bf1c0280_0 .net *"_ivl_13", 0 0, L_0x5583bf1de070; 1 drivers
+v0x5583bf1c0360_0 .net *"_ivl_130", 0 0, L_0x5583bf1e7d60; 1 drivers
+v0x5583bf1c0440_0 .net *"_ivl_133", 0 0, L_0x5583bf1e83c0; 1 drivers
+v0x5583bf1c0520_0 .net/2u *"_ivl_134", 0 0, L_0x7fc2b1a16138; 1 drivers
+v0x5583bf1c0600_0 .net *"_ivl_136", 0 0, L_0x5583bf1e85c0; 1 drivers
+v0x5583bf1c06e0_0 .net *"_ivl_139", 0 0, L_0x5583bf1e8630; 1 drivers
+v0x5583bf1c07c0_0 .net/2u *"_ivl_140", 0 0, L_0x7fc2b1a16180; 1 drivers
+v0x5583bf1c08a0_0 .net *"_ivl_142", 0 0, L_0x5583bf1e8770; 1 drivers
+v0x5583bf1c0980_0 .net *"_ivl_145", 0 0, L_0x5583bf1e8320; 1 drivers
+v0x5583bf1c0a60_0 .net/2u *"_ivl_146", 0 0, L_0x7fc2b1a161c8; 1 drivers
+v0x5583bf1c0b40_0 .net *"_ivl_148", 0 0, L_0x5583bf1e8af0; 1 drivers
+v0x5583bf1c0c20_0 .net *"_ivl_151", 0 0, L_0x5583bf1e8b60; 1 drivers
+v0x5583bf1c0d00_0 .net/2u *"_ivl_152", 0 0, L_0x7fc2b1a16210; 1 drivers
+v0x5583bf1c0de0_0 .net *"_ivl_154", 0 0, L_0x5583bf1e8ca0; 1 drivers
+v0x5583bf1c0ec0_0 .net *"_ivl_157", 0 0, L_0x5583bf1e8dd0; 1 drivers
+v0x5583bf1c0fa0_0 .net/2u *"_ivl_158", 0 0, L_0x7fc2b1a16258; 1 drivers
+v0x5583bf1c1080_0 .net *"_ivl_16", 0 0, L_0x5583bf1de0e0; 1 drivers
+v0x5583bf1c1160_0 .net *"_ivl_160", 0 0, L_0x5583bf1e90d0; 1 drivers
+v0x5583bf1c1240_0 .net *"_ivl_163", 0 0, L_0x5583bf1e9140; 1 drivers
+v0x5583bf1c1320_0 .net/2u *"_ivl_164", 0 0, L_0x7fc2b1a162a0; 1 drivers
+v0x5583bf1c1400_0 .net *"_ivl_166", 0 0, L_0x5583bf1e9730; 1 drivers
+v0x5583bf1c14e0_0 .net *"_ivl_170", 0 0, L_0x5583bf1e98c0; 1 drivers
+v0x5583bf1c15c0_0 .net/2u *"_ivl_171", 0 0, L_0x7fc2b1a162e8; 1 drivers
+v0x5583bf1c16a0_0 .net *"_ivl_18", 0 0, L_0x5583bf1de1d0; 1 drivers
+v0x5583bf1c1780_0 .net *"_ivl_19", 0 0, L_0x5583bf1de2c0; 1 drivers
+v0x5583bf1c1860_0 .net *"_ivl_22", 0 0, L_0x5583bf1de330; 1 drivers
+v0x5583bf1c1940_0 .net *"_ivl_24", 0 0, L_0x5583bf1de420; 1 drivers
+v0x5583bf1c1a20_0 .net *"_ivl_25", 0 0, L_0x5583bf1deb00; 1 drivers
+v0x5583bf1c1b00_0 .net/2u *"_ivl_28", 0 0, L_0x7fc2b1a16018; 1 drivers
+v0x5583bf1c1be0_0 .net *"_ivl_30", 0 0, L_0x5583bf1dec10; 1 drivers
+v0x5583bf1c1cc0_0 .net *"_ivl_33", 0 0, L_0x5583bf1dec80; 1 drivers
+v0x5583bf1c1da0_0 .net *"_ivl_35", 0 0, L_0x5583bf1ded70; 1 drivers
+v0x5583bf1c1e80_0 .net *"_ivl_36", 0 0, L_0x5583bf1dee60; 1 drivers
+v0x5583bf1c1f60_0 .net *"_ivl_39", 0 0, L_0x5583bf1deed0; 1 drivers
+v0x5583bf1c2040_0 .net *"_ivl_4", 0 0, L_0x5583bf1ddc90; 1 drivers
+v0x5583bf1c2120_0 .net *"_ivl_41", 0 0, L_0x5583bf1df030; 1 drivers
+v0x5583bf1c2200_0 .net *"_ivl_42", 0 0, L_0x5583bf1df120; 1 drivers
+v0x5583bf1c22e0_0 .net *"_ivl_45", 0 0, L_0x5583bf1df1e0; 1 drivers
+v0x5583bf1c23c0_0 .net *"_ivl_47", 0 0, L_0x5583bf1df350; 1 drivers
+v0x5583bf1c24a0_0 .net *"_ivl_48", 0 0, L_0x5583bf1defc0; 1 drivers
+v0x5583bf1c2580_0 .net *"_ivl_52", 0 0, L_0x5583bf1df700; 1 drivers
+v0x5583bf1c2660_0 .net *"_ivl_54", 0 0, L_0x5583bf1df7f0; 1 drivers
+v0x5583bf1c2740_0 .net *"_ivl_6", 0 0, L_0x5583bf1ddd30; 1 drivers
+v0x5583bf1c2820_0 .net *"_ivl_62", 0 0, L_0x5583bf1e1840; 1 drivers
+v0x5583bf1c2900_0 .net *"_ivl_65", 0 0, L_0x5583bf1e1c10; 1 drivers
+v0x5583bf1c29e0_0 .net *"_ivl_67", 0 0, L_0x5583bf1df8e0; 1 drivers
+v0x5583bf1c2ed0_0 .net *"_ivl_68", 0 0, L_0x5583bf1e1d60; 1 drivers
+v0x5583bf1c2fb0_0 .net *"_ivl_7", 0 0, L_0x5583bf1dde20; 1 drivers
+v0x5583bf1c3090_0 .net *"_ivl_71", 0 0, L_0x5583bf1e1dd0; 1 drivers
+v0x5583bf1c3170_0 .net *"_ivl_73", 0 0, L_0x5583bf1e1f30; 1 drivers
+v0x5583bf1c3250_0 .net *"_ivl_74", 0 0, L_0x5583bf1e1fd0; 1 drivers
+v0x5583bf1c3330_0 .net *"_ivl_77", 0 0, L_0x5583bf1e20b0; 1 drivers
+v0x5583bf1c3410_0 .net *"_ivl_79", 0 0, L_0x5583bf1e2270; 1 drivers
+v0x5583bf1c34f0_0 .net *"_ivl_80", 0 0, L_0x5583bf1e2620; 1 drivers
+v0x5583bf1c35d0_0 .net *"_ivl_84", 0 0, L_0x5583bf1e26e0; 1 drivers
+v0x5583bf1c36b0_0 .net *"_ivl_86", 0 0, L_0x5583bf1e27d0; 1 drivers
+v0x5583bf1c3790_0 .net *"_ivl_96", 0 0, L_0x5583bf1e49a0; 1 drivers
+v0x5583bf1c3870_0 .net *"_ivl_99", 0 0, L_0x5583bf1e4f90; 1 drivers
+v0x5583bf1c3950_0 .net "a0", 3 0, L_0x5583bf1df440; 1 drivers
+v0x5583bf1c3a10_0 .net "a1", 3 0, L_0x5583bf1e2360; 1 drivers
+v0x5583bf1c3ae0_0 .net "a2", 3 0, L_0x5583bf1e5370; 1 drivers
+v0x5583bf1c3bb0_0 .net "b0", 3 0, L_0x5583bf1de920; 1 drivers
+v0x5583bf1c3c80_0 .net "overflow0", 0 0, L_0x5583bf1e1950; 1 drivers
+v0x5583bf1c3d50_0 .net "overflow1", 0 0, L_0x5583bf1e4ab0; 1 drivers
+v0x5583bf1c3e20_0 .net "overflow2", 0 0, L_0x5583bf1e7e70; 1 drivers
+L_0x5583bf1ddc90 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1ddd30 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1dde90 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1ddf80 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1de0e0 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1de1d0 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1de330 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1de420 .part v0x5583bf1cf210_0, 0, 1;
+L_0x5583bf1de920 .concat8 [ 1 1 1 1], L_0x5583bf1dde20, L_0x5583bf1de070, L_0x5583bf1de2c0, L_0x5583bf1deb00;
+L_0x5583bf1dec80 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1ded70 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1deed0 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1df030 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1df1e0 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1df350 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1df440 .concat8 [ 1 1 1 1], L_0x5583bf1dec10, L_0x5583bf1dee60, L_0x5583bf1df120, L_0x5583bf1defc0;
+L_0x5583bf1df700 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1df7f0 .part v0x5583bf1cf210_0, 1, 1;
+L_0x5583bf1e1ae0 .concat8 [ 4 1 0 0], L_0x5583bf1e18b0, L_0x5583bf1e1360;
+L_0x5583bf1e1c10 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1df8e0 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1e1dd0 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1e1f30 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1e20b0 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1e2270 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1e2360 .concat8 [ 1 1 1 1], L_0x5583bf1e1840, L_0x5583bf1e1d60, L_0x5583bf1e1fd0, L_0x5583bf1e2620;
+L_0x5583bf1e26e0 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1e27d0 .part v0x5583bf1cf210_0, 2, 1;
+L_0x5583bf1e4c40 .part L_0x5583bf1e1ae0, 1, 4;
+L_0x5583bf1e4ce0 .concat8 [ 4 1 0 0], L_0x5583bf1e4a10, L_0x5583bf1e4430;
+L_0x5583bf1e4f90 .part v0x5583bf1cf130_0, 0, 1;
+L_0x5583bf1e5030 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1e51e0 .part v0x5583bf1cf130_0, 1, 1;
+L_0x5583bf1e5280 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1e5590 .part v0x5583bf1cf130_0, 2, 1;
+L_0x5583bf1e5680 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1e5370 .concat8 [ 1 1 1 1], L_0x5583bf1e49a0, L_0x5583bf1e2040, L_0x5583bf1e5490, L_0x5583bf1e5990;
+L_0x5583bf1e5a50 .part v0x5583bf1cf130_0, 3, 1;
+L_0x5583bf1e5c80 .part v0x5583bf1cf210_0, 3, 1;
+L_0x5583bf1e8000 .part L_0x5583bf1e4ce0, 1, 4;
+L_0x5583bf1e81f0 .concat8 [ 4 1 0 0], L_0x5583bf1e7dd0, L_0x5583bf1e77f0;
+L_0x5583bf1e83c0 .part L_0x5583bf1e1ae0, 0, 1;
+L_0x5583bf1e8630 .part L_0x5583bf1e4ce0, 0, 1;
+L_0x5583bf1e8320 .part L_0x5583bf1e81f0, 0, 1;
+L_0x5583bf1e8b60 .part L_0x5583bf1e81f0, 1, 1;
+L_0x5583bf1e8dd0 .part L_0x5583bf1e81f0, 2, 1;
+L_0x5583bf1e9140 .part L_0x5583bf1e81f0, 3, 1;
+LS_0x5583bf1e9230_0_0 .concat8 [ 1 1 1 1], L_0x5583bf1ddc20, L_0x5583bf1e7d60, L_0x5583bf1e85c0, L_0x5583bf1e8770;
+LS_0x5583bf1e9230_0_4 .concat8 [ 1 1 1 1], L_0x5583bf1e8af0, L_0x5583bf1e8ca0, L_0x5583bf1e90d0, L_0x5583bf1e9730;
+L_0x5583bf1e9230 .concat8 [ 4 4 0 0], LS_0x5583bf1e9230_0_0, LS_0x5583bf1e9230_0_4;
+L_0x5583bf1e98c0 .part L_0x5583bf1e81f0, 4, 1;
+S_0x5583bf1ace60 .scope module, "add0" "addition" 15 26, 6 1 0, S_0x5583bf1acc60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x5583bf1e1950 .functor XOR 1, L_0x5583bf1e19c0, L_0x5583bf1e1360, C4<0>, C4<0>;
+v0x5583bf1b2790_0 .net "A", 3 0, L_0x5583bf1df440; alias, 1 drivers
+v0x5583bf1b2870_0 .net "B", 3 0, L_0x5583bf1de920; alias, 1 drivers
+v0x5583bf1b2950_0 .net "Carry4", 2 0, L_0x5583bf1e0e90; 1 drivers
+L_0x7fc2b1a16060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x5583bf1b2a10_0 .net "CarryIN", 0 0, L_0x7fc2b1a16060; 1 drivers
+v0x5583bf1b2b00_0 .net "CarryOUT", 0 0, L_0x5583bf1e1360; 1 drivers
+v0x5583bf1b2bf0_0 .net "Y", 3 0, L_0x5583bf1e18b0; 1 drivers
+v0x5583bf1b2cb0_0 .net *"_ivl_39", 0 0, L_0x5583bf1e19c0; 1 drivers
+v0x5583bf1b2d90_0 .net "overflow", 0 0, L_0x5583bf1e1950; alias, 1 drivers
+L_0x5583bf1dfd80 .part L_0x5583bf1df440, 0, 1;
+L_0x5583bf1dfeb0 .part L_0x5583bf1de920, 0, 1;
+L_0x5583bf1e0340 .part L_0x5583bf1df440, 1, 1;
+L_0x5583bf1e0500 .part L_0x5583bf1de920, 1, 1;
+L_0x5583bf1e06c0 .part L_0x5583bf1e0e90, 0, 1;
+L_0x5583bf1e0ab0 .part L_0x5583bf1df440, 2, 1;
+L_0x5583bf1e0be0 .part L_0x5583bf1de920, 2, 1;
+L_0x5583bf1e0d10 .part L_0x5583bf1e0e90, 1, 1;
+L_0x5583bf1e0e90 .concat8 [ 1 1 1 0], L_0x5583bf1dfd10, L_0x5583bf1e02d0, L_0x5583bf1e0a40;
+L_0x5583bf1e1420 .part L_0x5583bf1df440, 3, 1;
+L_0x5583bf1e1550 .part L_0x5583bf1de920, 3, 1;
+L_0x5583bf1e1680 .part L_0x5583bf1e0e90, 2, 1;
+L_0x5583bf1e18b0 .concat8 [ 1 1 1 1], L_0x5583bf1dfc10, L_0x5583bf1e0210, L_0x5583bf1e09d0, L_0x5583bf1e12a0;
+L_0x5583bf1e19c0 .part L_0x5583bf1e0e90, 2, 1;
+S_0x5583bf1ad100 .scope module, "f0" "fulladder" 6 11, 7 1 0, S_0x5583bf1ace60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1dfd10 .functor OR 1, L_0x5583bf1df980, L_0x5583bf1dfb50, C4<0>, C4<0>;
+v0x5583bf1ae020_0 .net "A", 0 0, L_0x5583bf1dfd80; 1 drivers
+v0x5583bf1ae0e0_0 .net "B", 0 0, L_0x5583bf1dfeb0; 1 drivers
+v0x5583bf1ae1b0_0 .net "Carry", 0 0, L_0x7fc2b1a16060; alias, 1 drivers
+v0x5583bf1ae2b0_0 .net "CarryO", 0 0, L_0x5583bf1dfd10; 1 drivers
+v0x5583bf1ae350_0 .net "Sum", 0 0, L_0x5583bf1dfc10; 1 drivers
+v0x5583bf1ae440_0 .net "and1", 0 0, L_0x5583bf1df980; 1 drivers
+v0x5583bf1ae510_0 .net "and2", 0 0, L_0x5583bf1dfb50; 1 drivers
+v0x5583bf1ae5e0_0 .net "xor1", 0 0, L_0x5583bf1dfae0; 1 drivers
+S_0x5583bf1ad380 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1ad100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1df980 .functor AND 1, L_0x5583bf1dfd80, L_0x5583bf1dfeb0, C4<1>, C4<1>;
+L_0x5583bf1dfae0 .functor XOR 1, L_0x5583bf1dfd80, L_0x5583bf1dfeb0, C4<0>, C4<0>;
+v0x5583bf1ad620_0 .net "A", 0 0, L_0x5583bf1dfd80; alias, 1 drivers
+v0x5583bf1ad700_0 .net "B", 0 0, L_0x5583bf1dfeb0; alias, 1 drivers
+v0x5583bf1ad7c0_0 .net "Carry", 0 0, L_0x5583bf1df980; alias, 1 drivers
+v0x5583bf1ad890_0 .net "Sum", 0 0, L_0x5583bf1dfae0; alias, 1 drivers
+S_0x5583bf1ada00 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1ad100;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1dfb50 .functor AND 1, L_0x5583bf1dfae0, L_0x7fc2b1a16060, C4<1>, C4<1>;
+L_0x5583bf1dfc10 .functor XOR 1, L_0x5583bf1dfae0, L_0x7fc2b1a16060, C4<0>, C4<0>;
+v0x5583bf1adc70_0 .net "A", 0 0, L_0x5583bf1dfae0; alias, 1 drivers
+v0x5583bf1add40_0 .net "B", 0 0, L_0x7fc2b1a16060; alias, 1 drivers
+v0x5583bf1adde0_0 .net "Carry", 0 0, L_0x5583bf1dfb50; alias, 1 drivers
+v0x5583bf1adeb0_0 .net "Sum", 0 0, L_0x5583bf1dfc10; alias, 1 drivers
+S_0x5583bf1ae6d0 .scope module, "f1" "fulladder" 6 12, 7 1 0, S_0x5583bf1ace60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e02d0 .functor OR 1, L_0x5583bf1dffe0, L_0x5583bf1e00c0, C4<0>, C4<0>;
+v0x5583bf1af5b0_0 .net "A", 0 0, L_0x5583bf1e0340; 1 drivers
+v0x5583bf1af670_0 .net "B", 0 0, L_0x5583bf1e0500; 1 drivers
+v0x5583bf1af740_0 .net "Carry", 0 0, L_0x5583bf1e06c0; 1 drivers
+v0x5583bf1af840_0 .net "CarryO", 0 0, L_0x5583bf1e02d0; 1 drivers
+v0x5583bf1af8e0_0 .net "Sum", 0 0, L_0x5583bf1e0210; 1 drivers
+v0x5583bf1af9d0_0 .net "and1", 0 0, L_0x5583bf1dffe0; 1 drivers
+v0x5583bf1afaa0_0 .net "and2", 0 0, L_0x5583bf1e00c0; 1 drivers
+v0x5583bf1afb70_0 .net "xor1", 0 0, L_0x5583bf1e0050; 1 drivers
+S_0x5583bf1ae930 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1ae6d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1dffe0 .functor AND 1, L_0x5583bf1e0340, L_0x5583bf1e0500, C4<1>, C4<1>;
+L_0x5583bf1e0050 .functor XOR 1, L_0x5583bf1e0340, L_0x5583bf1e0500, C4<0>, C4<0>;
+v0x5583bf1aebb0_0 .net "A", 0 0, L_0x5583bf1e0340; alias, 1 drivers
+v0x5583bf1aec90_0 .net "B", 0 0, L_0x5583bf1e0500; alias, 1 drivers
+v0x5583bf1aed50_0 .net "Carry", 0 0, L_0x5583bf1dffe0; alias, 1 drivers
+v0x5583bf1aee20_0 .net "Sum", 0 0, L_0x5583bf1e0050; alias, 1 drivers
+S_0x5583bf1aef90 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1ae6d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e00c0 .functor AND 1, L_0x5583bf1e0050, L_0x5583bf1e06c0, C4<1>, C4<1>;
+L_0x5583bf1e0210 .functor XOR 1, L_0x5583bf1e0050, L_0x5583bf1e06c0, C4<0>, C4<0>;
+v0x5583bf1af200_0 .net "A", 0 0, L_0x5583bf1e0050; alias, 1 drivers
+v0x5583bf1af2d0_0 .net "B", 0 0, L_0x5583bf1e06c0; alias, 1 drivers
+v0x5583bf1af370_0 .net "Carry", 0 0, L_0x5583bf1e00c0; alias, 1 drivers
+v0x5583bf1af440_0 .net "Sum", 0 0, L_0x5583bf1e0210; alias, 1 drivers
+S_0x5583bf1afc60 .scope module, "f2" "fulladder" 6 13, 7 1 0, S_0x5583bf1ace60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e0a40 .functor OR 1, L_0x5583bf1e07f0, L_0x5583bf1e08d0, C4<0>, C4<0>;
+v0x5583bf1b0b50_0 .net "A", 0 0, L_0x5583bf1e0ab0; 1 drivers
+v0x5583bf1b0c10_0 .net "B", 0 0, L_0x5583bf1e0be0; 1 drivers
+v0x5583bf1b0ce0_0 .net "Carry", 0 0, L_0x5583bf1e0d10; 1 drivers
+v0x5583bf1b0de0_0 .net "CarryO", 0 0, L_0x5583bf1e0a40; 1 drivers
+v0x5583bf1b0e80_0 .net "Sum", 0 0, L_0x5583bf1e09d0; 1 drivers
+v0x5583bf1b0f70_0 .net "and1", 0 0, L_0x5583bf1e07f0; 1 drivers
+v0x5583bf1b1040_0 .net "and2", 0 0, L_0x5583bf1e08d0; 1 drivers
+v0x5583bf1b1110_0 .net "xor1", 0 0, L_0x5583bf1e0860; 1 drivers
+S_0x5583bf1afef0 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1afc60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e07f0 .functor AND 1, L_0x5583bf1e0ab0, L_0x5583bf1e0be0, C4<1>, C4<1>;
+L_0x5583bf1e0860 .functor XOR 1, L_0x5583bf1e0ab0, L_0x5583bf1e0be0, C4<0>, C4<0>;
+v0x5583bf1b0170_0 .net "A", 0 0, L_0x5583bf1e0ab0; alias, 1 drivers
+v0x5583bf1b0230_0 .net "B", 0 0, L_0x5583bf1e0be0; alias, 1 drivers
+v0x5583bf1b02f0_0 .net "Carry", 0 0, L_0x5583bf1e07f0; alias, 1 drivers
+v0x5583bf1b03c0_0 .net "Sum", 0 0, L_0x5583bf1e0860; alias, 1 drivers
+S_0x5583bf1b0530 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1afc60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e08d0 .functor AND 1, L_0x5583bf1e0860, L_0x5583bf1e0d10, C4<1>, C4<1>;
+L_0x5583bf1e09d0 .functor XOR 1, L_0x5583bf1e0860, L_0x5583bf1e0d10, C4<0>, C4<0>;
+v0x5583bf1b07a0_0 .net "A", 0 0, L_0x5583bf1e0860; alias, 1 drivers
+v0x5583bf1b0870_0 .net "B", 0 0, L_0x5583bf1e0d10; alias, 1 drivers
+v0x5583bf1b0910_0 .net "Carry", 0 0, L_0x5583bf1e08d0; alias, 1 drivers
+v0x5583bf1b09e0_0 .net "Sum", 0 0, L_0x5583bf1e09d0; alias, 1 drivers
+S_0x5583bf1b1200 .scope module, "f3" "fulladder" 6 14, 7 1 0, S_0x5583bf1ace60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e1360 .functor OR 1, L_0x5583bf1e0f80, L_0x5583bf1e1150, C4<0>, C4<0>;
+v0x5583bf1b20e0_0 .net "A", 0 0, L_0x5583bf1e1420; 1 drivers
+v0x5583bf1b21a0_0 .net "B", 0 0, L_0x5583bf1e1550; 1 drivers
+v0x5583bf1b2270_0 .net "Carry", 0 0, L_0x5583bf1e1680; 1 drivers
+v0x5583bf1b2370_0 .net "CarryO", 0 0, L_0x5583bf1e1360; alias, 1 drivers
+v0x5583bf1b2410_0 .net "Sum", 0 0, L_0x5583bf1e12a0; 1 drivers
+v0x5583bf1b2500_0 .net "and1", 0 0, L_0x5583bf1e0f80; 1 drivers
+v0x5583bf1b25d0_0 .net "and2", 0 0, L_0x5583bf1e1150; 1 drivers
+v0x5583bf1b26a0_0 .net "xor1", 0 0, L_0x5583bf1e10e0; 1 drivers
+S_0x5583bf1b1460 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1b1200;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e0f80 .functor AND 1, L_0x5583bf1e1420, L_0x5583bf1e1550, C4<1>, C4<1>;
+L_0x5583bf1e10e0 .functor XOR 1, L_0x5583bf1e1420, L_0x5583bf1e1550, C4<0>, C4<0>;
+v0x5583bf1b16e0_0 .net "A", 0 0, L_0x5583bf1e1420; alias, 1 drivers
+v0x5583bf1b17c0_0 .net "B", 0 0, L_0x5583bf1e1550; alias, 1 drivers
+v0x5583bf1b1880_0 .net "Carry", 0 0, L_0x5583bf1e0f80; alias, 1 drivers
+v0x5583bf1b1950_0 .net "Sum", 0 0, L_0x5583bf1e10e0; alias, 1 drivers
+S_0x5583bf1b1ac0 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1b1200;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e1150 .functor AND 1, L_0x5583bf1e10e0, L_0x5583bf1e1680, C4<1>, C4<1>;
+L_0x5583bf1e12a0 .functor XOR 1, L_0x5583bf1e10e0, L_0x5583bf1e1680, C4<0>, C4<0>;
+v0x5583bf1b1d30_0 .net "A", 0 0, L_0x5583bf1e10e0; alias, 1 drivers
+v0x5583bf1b1e00_0 .net "B", 0 0, L_0x5583bf1e1680; alias, 1 drivers
+v0x5583bf1b1ea0_0 .net "Carry", 0 0, L_0x5583bf1e1150; alias, 1 drivers
+v0x5583bf1b1f70_0 .net "Sum", 0 0, L_0x5583bf1e12a0; alias, 1 drivers
+S_0x5583bf1b2f50 .scope module, "add1" "addition" 15 42, 6 1 0, S_0x5583bf1acc60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x5583bf1e4ab0 .functor XOR 1, L_0x5583bf1e4b20, L_0x5583bf1e4430, C4<0>, C4<0>;
+v0x5583bf1b8860_0 .net "A", 3 0, L_0x5583bf1e2360; alias, 1 drivers
+v0x5583bf1b8940_0 .net "B", 3 0, L_0x5583bf1e4c40; 1 drivers
+v0x5583bf1b8a20_0 .net "Carry4", 2 0, L_0x5583bf1e3f60; 1 drivers
+L_0x7fc2b1a160a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x5583bf1b8ae0_0 .net "CarryIN", 0 0, L_0x7fc2b1a160a8; 1 drivers
+v0x5583bf1b8bd0_0 .net "CarryOUT", 0 0, L_0x5583bf1e4430; 1 drivers
+v0x5583bf1b8cc0_0 .net "Y", 3 0, L_0x5583bf1e4a10; 1 drivers
+v0x5583bf1b8d80_0 .net *"_ivl_39", 0 0, L_0x5583bf1e4b20; 1 drivers
+v0x5583bf1b8e60_0 .net "overflow", 0 0, L_0x5583bf1e4ab0; alias, 1 drivers
+L_0x5583bf1e2e40 .part L_0x5583bf1e2360, 0, 1;
+L_0x5583bf1e2f70 .part L_0x5583bf1e4c40, 0, 1;
+L_0x5583bf1e3400 .part L_0x5583bf1e2360, 1, 1;
+L_0x5583bf1e35c0 .part L_0x5583bf1e4c40, 1, 1;
+L_0x5583bf1e36f0 .part L_0x5583bf1e3f60, 0, 1;
+L_0x5583bf1e3b80 .part L_0x5583bf1e2360, 2, 1;
+L_0x5583bf1e3cb0 .part L_0x5583bf1e4c40, 2, 1;
+L_0x5583bf1e3de0 .part L_0x5583bf1e3f60, 1, 1;
+L_0x5583bf1e3f60 .concat8 [ 1 1 1 0], L_0x5583bf1e2dd0, L_0x5583bf1e3390, L_0x5583bf1e3b10;
+L_0x5583bf1e44f0 .part L_0x5583bf1e2360, 3, 1;
+L_0x5583bf1e4620 .part L_0x5583bf1e4c40, 3, 1;
+L_0x5583bf1e47e0 .part L_0x5583bf1e3f60, 2, 1;
+L_0x5583bf1e4a10 .concat8 [ 1 1 1 1], L_0x5583bf1e2cd0, L_0x5583bf1e32d0, L_0x5583bf1e3a50, L_0x5583bf1e4370;
+L_0x5583bf1e4b20 .part L_0x5583bf1e3f60, 2, 1;
+S_0x5583bf1b31f0 .scope module, "f0" "fulladder" 6 11, 7 1 0, S_0x5583bf1b2f50;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e2dd0 .functor OR 1, L_0x5583bf1e29b0, L_0x5583bf1e2b80, C4<0>, C4<0>;
+v0x5583bf1b40f0_0 .net "A", 0 0, L_0x5583bf1e2e40; 1 drivers
+v0x5583bf1b41b0_0 .net "B", 0 0, L_0x5583bf1e2f70; 1 drivers
+v0x5583bf1b4280_0 .net "Carry", 0 0, L_0x7fc2b1a160a8; alias, 1 drivers
+v0x5583bf1b4380_0 .net "CarryO", 0 0, L_0x5583bf1e2dd0; 1 drivers
+v0x5583bf1b4420_0 .net "Sum", 0 0, L_0x5583bf1e2cd0; 1 drivers
+v0x5583bf1b4510_0 .net "and1", 0 0, L_0x5583bf1e29b0; 1 drivers
+v0x5583bf1b45e0_0 .net "and2", 0 0, L_0x5583bf1e2b80; 1 drivers
+v0x5583bf1b46b0_0 .net "xor1", 0 0, L_0x5583bf1e2b10; 1 drivers
+S_0x5583bf1b3450 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1b31f0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e29b0 .functor AND 1, L_0x5583bf1e2e40, L_0x5583bf1e2f70, C4<1>, C4<1>;
+L_0x5583bf1e2b10 .functor XOR 1, L_0x5583bf1e2e40, L_0x5583bf1e2f70, C4<0>, C4<0>;
+v0x5583bf1b36f0_0 .net "A", 0 0, L_0x5583bf1e2e40; alias, 1 drivers
+v0x5583bf1b37d0_0 .net "B", 0 0, L_0x5583bf1e2f70; alias, 1 drivers
+v0x5583bf1b3890_0 .net "Carry", 0 0, L_0x5583bf1e29b0; alias, 1 drivers
+v0x5583bf1b3960_0 .net "Sum", 0 0, L_0x5583bf1e2b10; alias, 1 drivers
+S_0x5583bf1b3ad0 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1b31f0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e2b80 .functor AND 1, L_0x5583bf1e2b10, L_0x7fc2b1a160a8, C4<1>, C4<1>;
+L_0x5583bf1e2cd0 .functor XOR 1, L_0x5583bf1e2b10, L_0x7fc2b1a160a8, C4<0>, C4<0>;
+v0x5583bf1b3d40_0 .net "A", 0 0, L_0x5583bf1e2b10; alias, 1 drivers
+v0x5583bf1b3e10_0 .net "B", 0 0, L_0x7fc2b1a160a8; alias, 1 drivers
+v0x5583bf1b3eb0_0 .net "Carry", 0 0, L_0x5583bf1e2b80; alias, 1 drivers
+v0x5583bf1b3f80_0 .net "Sum", 0 0, L_0x5583bf1e2cd0; alias, 1 drivers
+S_0x5583bf1b47a0 .scope module, "f1" "fulladder" 6 12, 7 1 0, S_0x5583bf1b2f50;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e3390 .functor OR 1, L_0x5583bf1e30a0, L_0x5583bf1e3180, C4<0>, C4<0>;
+v0x5583bf1b5680_0 .net "A", 0 0, L_0x5583bf1e3400; 1 drivers
+v0x5583bf1b5740_0 .net "B", 0 0, L_0x5583bf1e35c0; 1 drivers
+v0x5583bf1b5810_0 .net "Carry", 0 0, L_0x5583bf1e36f0; 1 drivers
+v0x5583bf1b5910_0 .net "CarryO", 0 0, L_0x5583bf1e3390; 1 drivers
+v0x5583bf1b59b0_0 .net "Sum", 0 0, L_0x5583bf1e32d0; 1 drivers
+v0x5583bf1b5aa0_0 .net "and1", 0 0, L_0x5583bf1e30a0; 1 drivers
+v0x5583bf1b5b70_0 .net "and2", 0 0, L_0x5583bf1e3180; 1 drivers
+v0x5583bf1b5c40_0 .net "xor1", 0 0, L_0x5583bf1e3110; 1 drivers
+S_0x5583bf1b4a00 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1b47a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e30a0 .functor AND 1, L_0x5583bf1e3400, L_0x5583bf1e35c0, C4<1>, C4<1>;
+L_0x5583bf1e3110 .functor XOR 1, L_0x5583bf1e3400, L_0x5583bf1e35c0, C4<0>, C4<0>;
+v0x5583bf1b4c80_0 .net "A", 0 0, L_0x5583bf1e3400; alias, 1 drivers
+v0x5583bf1b4d60_0 .net "B", 0 0, L_0x5583bf1e35c0; alias, 1 drivers
+v0x5583bf1b4e20_0 .net "Carry", 0 0, L_0x5583bf1e30a0; alias, 1 drivers
+v0x5583bf1b4ef0_0 .net "Sum", 0 0, L_0x5583bf1e3110; alias, 1 drivers
+S_0x5583bf1b5060 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1b47a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e3180 .functor AND 1, L_0x5583bf1e3110, L_0x5583bf1e36f0, C4<1>, C4<1>;
+L_0x5583bf1e32d0 .functor XOR 1, L_0x5583bf1e3110, L_0x5583bf1e36f0, C4<0>, C4<0>;
+v0x5583bf1b52d0_0 .net "A", 0 0, L_0x5583bf1e3110; alias, 1 drivers
+v0x5583bf1b53a0_0 .net "B", 0 0, L_0x5583bf1e36f0; alias, 1 drivers
+v0x5583bf1b5440_0 .net "Carry", 0 0, L_0x5583bf1e3180; alias, 1 drivers
+v0x5583bf1b5510_0 .net "Sum", 0 0, L_0x5583bf1e32d0; alias, 1 drivers
+S_0x5583bf1b5d30 .scope module, "f2" "fulladder" 6 13, 7 1 0, S_0x5583bf1b2f50;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e3b10 .functor OR 1, L_0x5583bf1e3820, L_0x5583bf1e3900, C4<0>, C4<0>;
+v0x5583bf1b6c20_0 .net "A", 0 0, L_0x5583bf1e3b80; 1 drivers
+v0x5583bf1b6ce0_0 .net "B", 0 0, L_0x5583bf1e3cb0; 1 drivers
+v0x5583bf1b6db0_0 .net "Carry", 0 0, L_0x5583bf1e3de0; 1 drivers
+v0x5583bf1b6eb0_0 .net "CarryO", 0 0, L_0x5583bf1e3b10; 1 drivers
+v0x5583bf1b6f50_0 .net "Sum", 0 0, L_0x5583bf1e3a50; 1 drivers
+v0x5583bf1b7040_0 .net "and1", 0 0, L_0x5583bf1e3820; 1 drivers
+v0x5583bf1b7110_0 .net "and2", 0 0, L_0x5583bf1e3900; 1 drivers
+v0x5583bf1b71e0_0 .net "xor1", 0 0, L_0x5583bf1e3890; 1 drivers
+S_0x5583bf1b5fc0 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1b5d30;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e3820 .functor AND 1, L_0x5583bf1e3b80, L_0x5583bf1e3cb0, C4<1>, C4<1>;
+L_0x5583bf1e3890 .functor XOR 1, L_0x5583bf1e3b80, L_0x5583bf1e3cb0, C4<0>, C4<0>;
+v0x5583bf1b6240_0 .net "A", 0 0, L_0x5583bf1e3b80; alias, 1 drivers
+v0x5583bf1b6300_0 .net "B", 0 0, L_0x5583bf1e3cb0; alias, 1 drivers
+v0x5583bf1b63c0_0 .net "Carry", 0 0, L_0x5583bf1e3820; alias, 1 drivers
+v0x5583bf1b6490_0 .net "Sum", 0 0, L_0x5583bf1e3890; alias, 1 drivers
+S_0x5583bf1b6600 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1b5d30;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e3900 .functor AND 1, L_0x5583bf1e3890, L_0x5583bf1e3de0, C4<1>, C4<1>;
+L_0x5583bf1e3a50 .functor XOR 1, L_0x5583bf1e3890, L_0x5583bf1e3de0, C4<0>, C4<0>;
+v0x5583bf1b6870_0 .net "A", 0 0, L_0x5583bf1e3890; alias, 1 drivers
+v0x5583bf1b6940_0 .net "B", 0 0, L_0x5583bf1e3de0; alias, 1 drivers
+v0x5583bf1b69e0_0 .net "Carry", 0 0, L_0x5583bf1e3900; alias, 1 drivers
+v0x5583bf1b6ab0_0 .net "Sum", 0 0, L_0x5583bf1e3a50; alias, 1 drivers
+S_0x5583bf1b72d0 .scope module, "f3" "fulladder" 6 14, 7 1 0, S_0x5583bf1b2f50;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e4430 .functor OR 1, L_0x5583bf1e4050, L_0x5583bf1e4220, C4<0>, C4<0>;
+v0x5583bf1b81b0_0 .net "A", 0 0, L_0x5583bf1e44f0; 1 drivers
+v0x5583bf1b8270_0 .net "B", 0 0, L_0x5583bf1e4620; 1 drivers
+v0x5583bf1b8340_0 .net "Carry", 0 0, L_0x5583bf1e47e0; 1 drivers
+v0x5583bf1b8440_0 .net "CarryO", 0 0, L_0x5583bf1e4430; alias, 1 drivers
+v0x5583bf1b84e0_0 .net "Sum", 0 0, L_0x5583bf1e4370; 1 drivers
+v0x5583bf1b85d0_0 .net "and1", 0 0, L_0x5583bf1e4050; 1 drivers
+v0x5583bf1b86a0_0 .net "and2", 0 0, L_0x5583bf1e4220; 1 drivers
+v0x5583bf1b8770_0 .net "xor1", 0 0, L_0x5583bf1e41b0; 1 drivers
+S_0x5583bf1b7530 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1b72d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e4050 .functor AND 1, L_0x5583bf1e44f0, L_0x5583bf1e4620, C4<1>, C4<1>;
+L_0x5583bf1e41b0 .functor XOR 1, L_0x5583bf1e44f0, L_0x5583bf1e4620, C4<0>, C4<0>;
+v0x5583bf1b77b0_0 .net "A", 0 0, L_0x5583bf1e44f0; alias, 1 drivers
+v0x5583bf1b7890_0 .net "B", 0 0, L_0x5583bf1e4620; alias, 1 drivers
+v0x5583bf1b7950_0 .net "Carry", 0 0, L_0x5583bf1e4050; alias, 1 drivers
+v0x5583bf1b7a20_0 .net "Sum", 0 0, L_0x5583bf1e41b0; alias, 1 drivers
+S_0x5583bf1b7b90 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1b72d0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e4220 .functor AND 1, L_0x5583bf1e41b0, L_0x5583bf1e47e0, C4<1>, C4<1>;
+L_0x5583bf1e4370 .functor XOR 1, L_0x5583bf1e41b0, L_0x5583bf1e47e0, C4<0>, C4<0>;
+v0x5583bf1b7e00_0 .net "A", 0 0, L_0x5583bf1e41b0; alias, 1 drivers
+v0x5583bf1b7ed0_0 .net "B", 0 0, L_0x5583bf1e47e0; alias, 1 drivers
+v0x5583bf1b7f70_0 .net "Carry", 0 0, L_0x5583bf1e4220; alias, 1 drivers
+v0x5583bf1b8040_0 .net "Sum", 0 0, L_0x5583bf1e4370; alias, 1 drivers
+S_0x5583bf1b9020 .scope module, "add2" "addition" 15 58, 6 1 0, S_0x5583bf1acc60;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x5583bf1e7e70 .functor XOR 1, L_0x5583bf1e7ee0, L_0x5583bf1e77f0, C4<0>, C4<0>;
+v0x5583bf1be940_0 .net "A", 3 0, L_0x5583bf1e5370; alias, 1 drivers
+v0x5583bf1bea20_0 .net "B", 3 0, L_0x5583bf1e8000; 1 drivers
+v0x5583bf1beb00_0 .net "Carry4", 2 0, L_0x5583bf1e7320; 1 drivers
+L_0x7fc2b1a160f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x5583bf1bebc0_0 .net "CarryIN", 0 0, L_0x7fc2b1a160f0; 1 drivers
+v0x5583bf1becb0_0 .net "CarryOUT", 0 0, L_0x5583bf1e77f0; 1 drivers
+v0x5583bf1beda0_0 .net "Y", 3 0, L_0x5583bf1e7dd0; 1 drivers
+v0x5583bf1bee60_0 .net *"_ivl_39", 0 0, L_0x5583bf1e7ee0; 1 drivers
+v0x5583bf1bef40_0 .net "overflow", 0 0, L_0x5583bf1e7e70; alias, 1 drivers
+L_0x5583bf1e6200 .part L_0x5583bf1e5370, 0, 1;
+L_0x5583bf1e6330 .part L_0x5583bf1e8000, 0, 1;
+L_0x5583bf1e67c0 .part L_0x5583bf1e5370, 1, 1;
+L_0x5583bf1e6980 .part L_0x5583bf1e8000, 1, 1;
+L_0x5583bf1e6ab0 .part L_0x5583bf1e7320, 0, 1;
+L_0x5583bf1e6f40 .part L_0x5583bf1e5370, 2, 1;
+L_0x5583bf1e7070 .part L_0x5583bf1e8000, 2, 1;
+L_0x5583bf1e71a0 .part L_0x5583bf1e7320, 1, 1;
+L_0x5583bf1e7320 .concat8 [ 1 1 1 0], L_0x5583bf1e6190, L_0x5583bf1e6750, L_0x5583bf1e6ed0;
+L_0x5583bf1e78b0 .part L_0x5583bf1e5370, 3, 1;
+L_0x5583bf1e79e0 .part L_0x5583bf1e8000, 3, 1;
+L_0x5583bf1e7ba0 .part L_0x5583bf1e7320, 2, 1;
+L_0x5583bf1e7dd0 .concat8 [ 1 1 1 1], L_0x5583bf1e6090, L_0x5583bf1e6690, L_0x5583bf1e6e10, L_0x5583bf1e7730;
+L_0x5583bf1e7ee0 .part L_0x5583bf1e7320, 2, 1;
+S_0x5583bf1b92a0 .scope module, "f0" "fulladder" 6 11, 7 1 0, S_0x5583bf1b9020;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e6190 .functor OR 1, L_0x5583bf1e5d70, L_0x5583bf1e5f40, C4<0>, C4<0>;
+v0x5583bf1ba1d0_0 .net "A", 0 0, L_0x5583bf1e6200; 1 drivers
+v0x5583bf1ba290_0 .net "B", 0 0, L_0x5583bf1e6330; 1 drivers
+v0x5583bf1ba360_0 .net "Carry", 0 0, L_0x7fc2b1a160f0; alias, 1 drivers
+v0x5583bf1ba460_0 .net "CarryO", 0 0, L_0x5583bf1e6190; 1 drivers
+v0x5583bf1ba500_0 .net "Sum", 0 0, L_0x5583bf1e6090; 1 drivers
+v0x5583bf1ba5f0_0 .net "and1", 0 0, L_0x5583bf1e5d70; 1 drivers
+v0x5583bf1ba6c0_0 .net "and2", 0 0, L_0x5583bf1e5f40; 1 drivers
+v0x5583bf1ba790_0 .net "xor1", 0 0, L_0x5583bf1e5ed0; 1 drivers
+S_0x5583bf1b9530 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1b92a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e5d70 .functor AND 1, L_0x5583bf1e6200, L_0x5583bf1e6330, C4<1>, C4<1>;
+L_0x5583bf1e5ed0 .functor XOR 1, L_0x5583bf1e6200, L_0x5583bf1e6330, C4<0>, C4<0>;
+v0x5583bf1b97d0_0 .net "A", 0 0, L_0x5583bf1e6200; alias, 1 drivers
+v0x5583bf1b98b0_0 .net "B", 0 0, L_0x5583bf1e6330; alias, 1 drivers
+v0x5583bf1b9970_0 .net "Carry", 0 0, L_0x5583bf1e5d70; alias, 1 drivers
+v0x5583bf1b9a40_0 .net "Sum", 0 0, L_0x5583bf1e5ed0; alias, 1 drivers
+S_0x5583bf1b9bb0 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1b92a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e5f40 .functor AND 1, L_0x5583bf1e5ed0, L_0x7fc2b1a160f0, C4<1>, C4<1>;
+L_0x5583bf1e6090 .functor XOR 1, L_0x5583bf1e5ed0, L_0x7fc2b1a160f0, C4<0>, C4<0>;
+v0x5583bf1b9e20_0 .net "A", 0 0, L_0x5583bf1e5ed0; alias, 1 drivers
+v0x5583bf1b9ef0_0 .net "B", 0 0, L_0x7fc2b1a160f0; alias, 1 drivers
+v0x5583bf1b9f90_0 .net "Carry", 0 0, L_0x5583bf1e5f40; alias, 1 drivers
+v0x5583bf1ba060_0 .net "Sum", 0 0, L_0x5583bf1e6090; alias, 1 drivers
+S_0x5583bf1ba880 .scope module, "f1" "fulladder" 6 12, 7 1 0, S_0x5583bf1b9020;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e6750 .functor OR 1, L_0x5583bf1e6460, L_0x5583bf1e6540, C4<0>, C4<0>;
+v0x5583bf1bb760_0 .net "A", 0 0, L_0x5583bf1e67c0; 1 drivers
+v0x5583bf1bb820_0 .net "B", 0 0, L_0x5583bf1e6980; 1 drivers
+v0x5583bf1bb8f0_0 .net "Carry", 0 0, L_0x5583bf1e6ab0; 1 drivers
+v0x5583bf1bb9f0_0 .net "CarryO", 0 0, L_0x5583bf1e6750; 1 drivers
+v0x5583bf1bba90_0 .net "Sum", 0 0, L_0x5583bf1e6690; 1 drivers
+v0x5583bf1bbb80_0 .net "and1", 0 0, L_0x5583bf1e6460; 1 drivers
+v0x5583bf1bbc50_0 .net "and2", 0 0, L_0x5583bf1e6540; 1 drivers
+v0x5583bf1bbd20_0 .net "xor1", 0 0, L_0x5583bf1e64d0; 1 drivers
+S_0x5583bf1baae0 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1ba880;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e6460 .functor AND 1, L_0x5583bf1e67c0, L_0x5583bf1e6980, C4<1>, C4<1>;
+L_0x5583bf1e64d0 .functor XOR 1, L_0x5583bf1e67c0, L_0x5583bf1e6980, C4<0>, C4<0>;
+v0x5583bf1bad60_0 .net "A", 0 0, L_0x5583bf1e67c0; alias, 1 drivers
+v0x5583bf1bae40_0 .net "B", 0 0, L_0x5583bf1e6980; alias, 1 drivers
+v0x5583bf1baf00_0 .net "Carry", 0 0, L_0x5583bf1e6460; alias, 1 drivers
+v0x5583bf1bafd0_0 .net "Sum", 0 0, L_0x5583bf1e64d0; alias, 1 drivers
+S_0x5583bf1bb140 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1ba880;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e6540 .functor AND 1, L_0x5583bf1e64d0, L_0x5583bf1e6ab0, C4<1>, C4<1>;
+L_0x5583bf1e6690 .functor XOR 1, L_0x5583bf1e64d0, L_0x5583bf1e6ab0, C4<0>, C4<0>;
+v0x5583bf1bb3b0_0 .net "A", 0 0, L_0x5583bf1e64d0; alias, 1 drivers
+v0x5583bf1bb480_0 .net "B", 0 0, L_0x5583bf1e6ab0; alias, 1 drivers
+v0x5583bf1bb520_0 .net "Carry", 0 0, L_0x5583bf1e6540; alias, 1 drivers
+v0x5583bf1bb5f0_0 .net "Sum", 0 0, L_0x5583bf1e6690; alias, 1 drivers
+S_0x5583bf1bbe10 .scope module, "f2" "fulladder" 6 13, 7 1 0, S_0x5583bf1b9020;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e6ed0 .functor OR 1, L_0x5583bf1e6be0, L_0x5583bf1e6cc0, C4<0>, C4<0>;
+v0x5583bf1bcd00_0 .net "A", 0 0, L_0x5583bf1e6f40; 1 drivers
+v0x5583bf1bcdc0_0 .net "B", 0 0, L_0x5583bf1e7070; 1 drivers
+v0x5583bf1bce90_0 .net "Carry", 0 0, L_0x5583bf1e71a0; 1 drivers
+v0x5583bf1bcf90_0 .net "CarryO", 0 0, L_0x5583bf1e6ed0; 1 drivers
+v0x5583bf1bd030_0 .net "Sum", 0 0, L_0x5583bf1e6e10; 1 drivers
+v0x5583bf1bd120_0 .net "and1", 0 0, L_0x5583bf1e6be0; 1 drivers
+v0x5583bf1bd1f0_0 .net "and2", 0 0, L_0x5583bf1e6cc0; 1 drivers
+v0x5583bf1bd2c0_0 .net "xor1", 0 0, L_0x5583bf1e6c50; 1 drivers
+S_0x5583bf1bc0a0 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1bbe10;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e6be0 .functor AND 1, L_0x5583bf1e6f40, L_0x5583bf1e7070, C4<1>, C4<1>;
+L_0x5583bf1e6c50 .functor XOR 1, L_0x5583bf1e6f40, L_0x5583bf1e7070, C4<0>, C4<0>;
+v0x5583bf1bc320_0 .net "A", 0 0, L_0x5583bf1e6f40; alias, 1 drivers
+v0x5583bf1bc3e0_0 .net "B", 0 0, L_0x5583bf1e7070; alias, 1 drivers
+v0x5583bf1bc4a0_0 .net "Carry", 0 0, L_0x5583bf1e6be0; alias, 1 drivers
+v0x5583bf1bc570_0 .net "Sum", 0 0, L_0x5583bf1e6c50; alias, 1 drivers
+S_0x5583bf1bc6e0 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1bbe10;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e6cc0 .functor AND 1, L_0x5583bf1e6c50, L_0x5583bf1e71a0, C4<1>, C4<1>;
+L_0x5583bf1e6e10 .functor XOR 1, L_0x5583bf1e6c50, L_0x5583bf1e71a0, C4<0>, C4<0>;
+v0x5583bf1bc950_0 .net "A", 0 0, L_0x5583bf1e6c50; alias, 1 drivers
+v0x5583bf1bca20_0 .net "B", 0 0, L_0x5583bf1e71a0; alias, 1 drivers
+v0x5583bf1bcac0_0 .net "Carry", 0 0, L_0x5583bf1e6cc0; alias, 1 drivers
+v0x5583bf1bcb90_0 .net "Sum", 0 0, L_0x5583bf1e6e10; alias, 1 drivers
+S_0x5583bf1bd3b0 .scope module, "f3" "fulladder" 6 14, 7 1 0, S_0x5583bf1b9020;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5583bf1e77f0 .functor OR 1, L_0x5583bf1e7410, L_0x5583bf1e75e0, C4<0>, C4<0>;
+v0x5583bf1be290_0 .net "A", 0 0, L_0x5583bf1e78b0; 1 drivers
+v0x5583bf1be350_0 .net "B", 0 0, L_0x5583bf1e79e0; 1 drivers
+v0x5583bf1be420_0 .net "Carry", 0 0, L_0x5583bf1e7ba0; 1 drivers
+v0x5583bf1be520_0 .net "CarryO", 0 0, L_0x5583bf1e77f0; alias, 1 drivers
+v0x5583bf1be5c0_0 .net "Sum", 0 0, L_0x5583bf1e7730; 1 drivers
+v0x5583bf1be6b0_0 .net "and1", 0 0, L_0x5583bf1e7410; 1 drivers
+v0x5583bf1be780_0 .net "and2", 0 0, L_0x5583bf1e75e0; 1 drivers
+v0x5583bf1be850_0 .net "xor1", 0 0, L_0x5583bf1e7570; 1 drivers
+S_0x5583bf1bd610 .scope module, "h1" "halfadder" 7 8, 8 1 0, S_0x5583bf1bd3b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e7410 .functor AND 1, L_0x5583bf1e78b0, L_0x5583bf1e79e0, C4<1>, C4<1>;
+L_0x5583bf1e7570 .functor XOR 1, L_0x5583bf1e78b0, L_0x5583bf1e79e0, C4<0>, C4<0>;
+v0x5583bf1bd890_0 .net "A", 0 0, L_0x5583bf1e78b0; alias, 1 drivers
+v0x5583bf1bd970_0 .net "B", 0 0, L_0x5583bf1e79e0; alias, 1 drivers
+v0x5583bf1bda30_0 .net "Carry", 0 0, L_0x5583bf1e7410; alias, 1 drivers
+v0x5583bf1bdb00_0 .net "Sum", 0 0, L_0x5583bf1e7570; alias, 1 drivers
+S_0x5583bf1bdc70 .scope module, "h2" "halfadder" 7 9, 8 1 0, S_0x5583bf1bd3b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5583bf1e75e0 .functor AND 1, L_0x5583bf1e7570, L_0x5583bf1e7ba0, C4<1>, C4<1>;
+L_0x5583bf1e7730 .functor XOR 1, L_0x5583bf1e7570, L_0x5583bf1e7ba0, C4<0>, C4<0>;
+v0x5583bf1bdee0_0 .net "A", 0 0, L_0x5583bf1e7570; alias, 1 drivers
+v0x5583bf1bdfb0_0 .net "B", 0 0, L_0x5583bf1e7ba0; alias, 1 drivers
+v0x5583bf1be050_0 .net "Carry", 0 0, L_0x5583bf1e75e0; alias, 1 drivers
+v0x5583bf1be120_0 .net "Sum", 0 0, L_0x5583bf1e7730; alias, 1 drivers
+S_0x5583bf1c3ef0 .scope module, "opCd" "opCode" 4 18, 16 1 0, S_0x5583bf185fa0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 3 "A";
+ .port_info 1 /OUTPUT 8 "opCode";
+L_0x5583bf1cf770 .functor NOT 1, L_0x5583bf1cf800, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1cf8f0 .functor NOT 1, L_0x5583bf1cf9b0, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1cfaa0 .functor NOT 1, L_0x5583bf1cfb40, C4<0>, C4<0>, C4<0>;
+L_0x5583bf1cfc30 .functor AND 1, L_0x5583bf1cfd30, L_0x5583bf1cff60, C4<1>, C4<1>;
+L_0x5583bf1d0050 .functor AND 1, L_0x5583bf1cf770, L_0x5583bf1d0110, C4<1>, C4<1>;
+L_0x5583bf1d0240 .functor AND 1, L_0x5583bf1d0340, L_0x5583bf1cf8f0, C4<1>, C4<1>;
+L_0x5583bf1d0430 .functor AND 1, L_0x5583bf1cf770, L_0x5583bf1cf8f0, C4<1>, C4<1>;
+L_0x5583bf1d04a0 .functor AND 1, L_0x5583bf1d0430, L_0x5583bf1cfaa0, C4<1>, C4<1>;
+L_0x5583bf1d0600 .functor AND 1, L_0x5583bf1d0430, L_0x5583bf1d0670, C4<1>, C4<1>;
+L_0x5583bf1d07b0 .functor AND 1, L_0x5583bf1d0050, L_0x5583bf1cfaa0, C4<1>, C4<1>;
+L_0x5583bf1d08d0 .functor AND 1, L_0x5583bf1d0050, L_0x5583bf1d0940, C4<1>, C4<1>;
+L_0x5583bf1d09e0 .functor AND 1, L_0x5583bf1d0240, L_0x5583bf1cfaa0, C4<1>, C4<1>;
+L_0x5583bf1d0b10 .functor AND 1, L_0x5583bf1d0240, L_0x5583bf1d0b80, C4<1>, C4<1>;
+L_0x5583bf1d0c80 .functor AND 1, L_0x5583bf1cfc30, L_0x5583bf1cfaa0, C4<1>, C4<1>;
+L_0x5583bf1d0aa0 .functor AND 1, L_0x5583bf1cfc30, L_0x5583bf1d1130, C4<1>, C4<1>;
+v0x5583bf1c4080_0 .net "A", 2 0, v0x5583bf1cf5c0_0; alias, 1 drivers
+v0x5583bf1c4180_0 .net *"_ivl_1", 0 0, L_0x5583bf1cf800; 1 drivers
+v0x5583bf1c4260_0 .net *"_ivl_11", 0 0, L_0x5583bf1d0110; 1 drivers
+v0x5583bf1c4320_0 .net *"_ivl_13", 0 0, L_0x5583bf1d0340; 1 drivers
+v0x5583bf1c4400_0 .net *"_ivl_14", 0 0, L_0x5583bf1d04a0; 1 drivers
+v0x5583bf1c4530_0 .net *"_ivl_16", 0 0, L_0x5583bf1d0600; 1 drivers
+v0x5583bf1c4610_0 .net *"_ivl_19", 0 0, L_0x5583bf1d0670; 1 drivers
+v0x5583bf1c46f0_0 .net *"_ivl_20", 0 0, L_0x5583bf1d07b0; 1 drivers
+v0x5583bf1c47d0_0 .net *"_ivl_22", 0 0, L_0x5583bf1d08d0; 1 drivers
+v0x5583bf1c48b0_0 .net *"_ivl_25", 0 0, L_0x5583bf1d0940; 1 drivers
+v0x5583bf1c4990_0 .net *"_ivl_26", 0 0, L_0x5583bf1d09e0; 1 drivers
+v0x5583bf1c4a70_0 .net *"_ivl_28", 0 0, L_0x5583bf1d0b10; 1 drivers
+v0x5583bf1c4b50_0 .net *"_ivl_3", 0 0, L_0x5583bf1cf9b0; 1 drivers
+v0x5583bf1c4c30_0 .net *"_ivl_31", 0 0, L_0x5583bf1d0b80; 1 drivers
+v0x5583bf1c4d10_0 .net *"_ivl_32", 0 0, L_0x5583bf1d0c80; 1 drivers
+v0x5583bf1c4df0_0 .net *"_ivl_34", 0 0, L_0x5583bf1d0aa0; 1 drivers
+v0x5583bf1c4ed0_0 .net *"_ivl_38", 0 0, L_0x5583bf1d1130; 1 drivers
+v0x5583bf1c4fb0_0 .net *"_ivl_5", 0 0, L_0x5583bf1cfb40; 1 drivers
+v0x5583bf1c5090_0 .net *"_ivl_7", 0 0, L_0x5583bf1cfd30; 1 drivers
+v0x5583bf1c5170_0 .net *"_ivl_9", 0 0, L_0x5583bf1cff60; 1 drivers
+v0x5583bf1c5250_0 .net "and1", 0 0, L_0x5583bf1cfc30; 1 drivers
+v0x5583bf1c5310_0 .net "and2", 0 0, L_0x5583bf1d0050; 1 drivers
+v0x5583bf1c53d0_0 .net "and3", 0 0, L_0x5583bf1d0240; 1 drivers
+v0x5583bf1c5490_0 .net "and4", 0 0, L_0x5583bf1d0430; 1 drivers
+v0x5583bf1c5550_0 .net "notA", 0 0, L_0x5583bf1cf770; 1 drivers
+v0x5583bf1c5610_0 .net "notB", 0 0, L_0x5583bf1cf8f0; 1 drivers
+v0x5583bf1c56d0_0 .net "notC", 0 0, L_0x5583bf1cfaa0; 1 drivers
+v0x5583bf1c5790_0 .net "opCode", 7 0, L_0x5583bf1d0d70; alias, 1 drivers
+L_0x5583bf1cf800 .part v0x5583bf1cf5c0_0, 2, 1;
+L_0x5583bf1cf9b0 .part v0x5583bf1cf5c0_0, 1, 1;
+L_0x5583bf1cfb40 .part v0x5583bf1cf5c0_0, 0, 1;
+L_0x5583bf1cfd30 .part v0x5583bf1cf5c0_0, 2, 1;
+L_0x5583bf1cff60 .part v0x5583bf1cf5c0_0, 1, 1;
+L_0x5583bf1d0110 .part v0x5583bf1cf5c0_0, 1, 1;
+L_0x5583bf1d0340 .part v0x5583bf1cf5c0_0, 2, 1;
+L_0x5583bf1d0670 .part v0x5583bf1cf5c0_0, 0, 1;
+L_0x5583bf1d0940 .part v0x5583bf1cf5c0_0, 0, 1;
+L_0x5583bf1d0b80 .part v0x5583bf1cf5c0_0, 0, 1;
+LS_0x5583bf1d0d70_0_0 .concat8 [ 1 1 1 1], L_0x5583bf1d04a0, L_0x5583bf1d0600, L_0x5583bf1d07b0, L_0x5583bf1d08d0;
+LS_0x5583bf1d0d70_0_4 .concat8 [ 1 1 1 1], L_0x5583bf1d09e0, L_0x5583bf1d0b10, L_0x5583bf1d0c80, L_0x5583bf1d0aa0;
+L_0x5583bf1d0d70 .concat8 [ 4 4 0 0], LS_0x5583bf1d0d70_0_0, LS_0x5583bf1d0d70_0_4;
+L_0x5583bf1d1130 .part v0x5583bf1cf5c0_0, 0, 1;
+S_0x5583bf1ce190 .scope module, "s1" "selector" 3 18, 17 1 0, S_0x5583bf0e1ee0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 3 "opCodeA";
+ .port_info 3 /INPUT 2 "select";
+ .port_info 4 /INPUT 12 "ALUY";
+ .port_info 5 /OUTPUT 12 "Y";
+v0x5583bf1ce450_0 .net "A", 3 0, v0x5583bf1cf130_0; alias, 1 drivers
+v0x5583bf1ce530_0 .net "ALUY", 11 0, L_0x5583bf1fa930; alias, 1 drivers
+v0x5583bf1ce640_0 .net "B", 3 0, v0x5583bf1cf210_0; alias, 1 drivers
+v0x5583bf1ce6e0_0 .var "Y", 11 0;
+v0x5583bf1ce7c0_0 .net "opCodeA", 2 0, v0x5583bf1cf5c0_0; alias, 1 drivers
+v0x5583bf1ce920_0 .net "select", 1 0, v0x5583bf1cf6b0_0; alias, 1 drivers
+E_0x5583bf1ce3e0/0 .event edge, v0x5583bf1ce920_0, v0x5583bf196920_0, v0x5583bf196a00_0, v0x5583bf1c4080_0;
+E_0x5583bf1ce3e0/1 .event edge, v0x5583bf1a74c0_0;
+E_0x5583bf1ce3e0 .event/or E_0x5583bf1ce3e0/0, E_0x5583bf1ce3e0/1;
+ .scope S_0x5583bf1ce190;
+T_0 ;
+ %wait E_0x5583bf1ce3e0;
+ %load/vec4 v0x5583bf1ce920_0;
+ %dup/vec4;
+ %pushi/vec4 0, 0, 2;
+ %cmp/u;
+ %jmp/1 T_0.0, 6;
+ %dup/vec4;
+ %pushi/vec4 1, 0, 2;
+ %cmp/u;
+ %jmp/1 T_0.1, 6;
+ %dup/vec4;
+ %pushi/vec4 2, 0, 2;
+ %cmp/u;
+ %jmp/1 T_0.2, 6;
+ %dup/vec4;
+ %pushi/vec4 3, 0, 2;
+ %cmp/u;
+ %jmp/1 T_0.3, 6;
+ %load/vec4 v0x5583bf1ce530_0;
+ %store/vec4 v0x5583bf1ce6e0_0, 0, 12;
+ %jmp T_0.5;
+T_0.0 ;
+ %pushi/vec4 0, 0, 8;
+ %load/vec4 v0x5583bf1ce450_0;
+ %concat/vec4; draw_concat_vec4
+ %store/vec4 v0x5583bf1ce6e0_0, 0, 12;
+ %jmp T_0.5;
+T_0.1 ;
+ %pushi/vec4 0, 0, 8;
+ %load/vec4 v0x5583bf1ce640_0;
+ %concat/vec4; draw_concat_vec4
+ %store/vec4 v0x5583bf1ce6e0_0, 0, 12;
+ %jmp T_0.5;
+T_0.2 ;
+ %pushi/vec4 0, 0, 9;
+ %load/vec4 v0x5583bf1ce7c0_0;
+ %concat/vec4; draw_concat_vec4
+ %store/vec4 v0x5583bf1ce6e0_0, 0, 12;
+ %jmp T_0.5;
+T_0.3 ;
+ %load/vec4 v0x5583bf1ce530_0;
+ %store/vec4 v0x5583bf1ce6e0_0, 0, 12;
+ %jmp T_0.5;
+T_0.5 ;
+ %pop/vec4 1;
+ %jmp T_0;
+ .thread T_0, $push;
+ .scope S_0x5583bf18cd60;
+T_1 ;
+ %vpi_call 2 19 "$dumpfile", "bttn.vcd" {0 0 0};
+ %vpi_call 2 20 "$dumpvars" {0 0 0};
+ %pushi/vec4 15, 0, 4;
+ %store/vec4 v0x5583bf1cf130_0, 0, 4;
+ %pushi/vec4 15, 0, 4;
+ %store/vec4 v0x5583bf1cf210_0, 0, 4;
+ %pushi/vec4 0, 0, 3;
+ %store/vec4 v0x5583bf1cf5c0_0, 0, 3;
+ %pushi/vec4 1, 0, 2;
+ %store/vec4 v0x5583bf1cf6b0_0, 0, 2;
+ %delay 5, 0;
+ %pushi/vec4 0, 0, 4;
+ %store/vec4 v0x5583bf1cf130_0, 0, 4;
+ %pushi/vec4 15, 0, 4;
+ %store/vec4 v0x5583bf1cf210_0, 0, 4;
+ %pushi/vec4 1, 0, 3;
+ %store/vec4 v0x5583bf1cf5c0_0, 0, 3;
+ %pushi/vec4 1, 0, 2;
+ %store/vec4 v0x5583bf1cf6b0_0, 0, 2;
+ %delay 5, 0;
+ %pushi/vec4 15, 0, 4;
+ %store/vec4 v0x5583bf1cf130_0, 0, 4;
+ %pushi/vec4 1, 0, 4;
+ %store/vec4 v0x5583bf1cf210_0, 0, 4;
+ %pushi/vec4 1, 0, 3;
+ %store/vec4 v0x5583bf1cf5c0_0, 0, 3;
+ %pushi/vec4 1, 0, 2;
+ %store/vec4 v0x5583bf1cf6b0_0, 0, 2;
+ %delay 5, 0;
+ %pushi/vec4 15, 0, 4;
+ %store/vec4 v0x5583bf1cf130_0, 0, 4;
+ %pushi/vec4 1, 0, 4;
+ %store/vec4 v0x5583bf1cf210_0, 0, 4;
+ %pushi/vec4 1, 0, 3;
+ %store/vec4 v0x5583bf1cf5c0_0, 0, 3;
+ %pushi/vec4 3, 0, 2;
+ %store/vec4 v0x5583bf1cf6b0_0, 0, 2;
+ %delay 5, 0;
+ %vpi_call 2 25 "$finish" {0 0 0};
+ %end;
+ .thread T_1;
+# The file index is used to find the file name in the following table.
+:file_names 18;
+ "N/A";
+ "";
+ "bttnTB.v";
+ "bttn.v";
+ "ALU.v";
+ "arithmeticUnit.v";
+ "addition.v";
+ "fulladder.v";
+ "halfadder.v";
+ "subtraction.v";
+ "fullsubtraction.v";
+ "halfsubtraction.v";
+ "BinaryToBCD.v";
+ "dabble.v";
+ "logicUnit.v";
+ "multiplier.v";
+ "opCode.v";
+ "selector.v";
diff --git a/tangTest/bttn.cst b/tangTest/bttn.cst
new file mode 100644
index 0000000..0abaaba
--- /dev/null
+++ b/tangTest/bttn.cst
@@ -0,0 +1,59 @@
+//Copyright (C)2014-2024 Gowin Semiconductor Corporation.
+//All rights reserved.
+//File Title: Physical Constraints file
+//Tool Version: V1.9.9.03 Education (64-bit)
+//Part Number: GW2A-LV18PG256C8/I7
+//Device: GW2A-18
+//Device Version: C
+//Created Time: Sat 01 18 21:56:09 2025
+
+IO_LOC "Y[11]" B12;
+IO_PORT "Y[11]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[10]" B13;
+IO_PORT "Y[10]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[9]" B14;
+IO_PORT "Y[9]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[8]" D14;
+IO_PORT "Y[8]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[7]" J14;
+IO_PORT "Y[7]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[6]" M14;
+IO_PORT "Y[6]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[5]" T12;
+IO_PORT "Y[5]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[4]" T11;
+IO_PORT "Y[4]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[3]" P9;
+IO_PORT "Y[3]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[2]" P8;
+IO_PORT "Y[2]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[1]" T7;
+IO_PORT "Y[1]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "Y[0]" P6;
+IO_PORT "Y[0]" IO_TYPE=LVCMOS18 PULL_MODE=NONE DRIVE=8 BANK_VCCIO=1.8;
+IO_LOC "select[1]" A14;
+IO_PORT "select[1]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
+IO_LOC "select[0]" A15;
+IO_PORT "select[0]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
+IO_LOC "opCodeA[2]" E8;
+IO_PORT "opCodeA[2]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
+IO_LOC "opCodeA[1]" T4;
+IO_PORT "opCodeA[1]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
+IO_LOC "opCodeA[0]" T5;
+IO_PORT "opCodeA[0]" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
+IO_LOC "B[3]" N8;
+IO_PORT "B[3]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
+IO_LOC "B[2]" N7;
+IO_PORT "B[2]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
+IO_LOC "B[1]" D11;
+IO_PORT "B[1]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
+IO_LOC "B[0]" B11;
+IO_PORT "B[0]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
+IO_LOC "A[3]" L9;
+IO_PORT "A[3]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
+IO_LOC "A[2]" E15;
+IO_PORT "A[2]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
+IO_LOC "A[1]" N6;
+IO_PORT "A[1]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
+IO_LOC "A[0]" A11;
+IO_PORT "A[0]" IO_TYPE=LVCMOS18 PULL_MODE=NONE BANK_VCCIO=1.8;
diff --git a/tangTest/bttn.v b/tangTest/bttn.v
new file mode 100644
index 0000000..e33168e
--- /dev/null
+++ b/tangTest/bttn.v
@@ -0,0 +1,20 @@
+module bttn (
+ input [3:0] A, B,
+ input [2:0] opCodeA,
+ input [1:0] select,
+ output [1:0] led,
+ output [11:0] Y
+);
+
+wire wire1, wire2;
+wire [11:0] selectY;
+ALU a1( .A(A),
+ .B(B),
+ .opCodeA(opCodeA),
+ .CarryIN(1'b0),
+ .bcd(selectY),
+ .CarryOUT(led[0]),
+ .overflow(led[1]));
+selector s1(.A(A), .B(B), .opCodeA(opCodeA), .select(select), .ALUY(selectY), .Y(Y));
+
+endmodule
diff --git a/tangTest/bttn.vcd b/tangTest/bttn.vcd
new file mode 100644
index 0000000..c0c022a
--- /dev/null
+++ b/tangTest/bttn.vcd
@@ -0,0 +1,1493 @@
+$date
+ Mon Jan 20 01:37:42 2025
+$end
+$version
+ Icarus Verilog
+$end
+$timescale
+ 1s
+$end
+$scope module bttnTB $end
+$var wire 2 ! led [1:0] $end
+$var wire 12 " Y [11:0] $end
+$var reg 4 # A [3:0] $end
+$var reg 4 $ B [3:0] $end
+$var reg 3 % opCodeA [2:0] $end
+$var reg 2 & select [1:0] $end
+$scope module uut $end
+$var wire 4 ' A [3:0] $end
+$var wire 4 ( B [3:0] $end
+$var wire 3 ) opCodeA [2:0] $end
+$var wire 2 * select [1:0] $end
+$var wire 12 + selectY [11:0] $end
+$var wire 2 , led [1:0] $end
+$var wire 12 - Y [11:0] $end
+$scope module a1 $end
+$var wire 4 . A [3:0] $end
+$var wire 4 / B [3:0] $end
+$var wire 1 0 CarryIN $end
+$var wire 3 1 opCodeA [2:0] $end
+$var wire 4 2 wireY [3:0] $end
+$var wire 8 3 wireM [7:0] $end
+$var wire 4 4 wireLA [3:0] $end
+$var wire 4 5 sub_Y [3:0] $end
+$var wire 4 6 resultX [3:0] $end
+$var wire 4 7 resultO [3:0] $end
+$var wire 4 8 resultA [3:0] $end
+$var wire 1 9 overflow $end
+$var wire 8 : opwireM [7:0] $end
+$var wire 8 ; opCode8 [7:0] $end
+$var wire 4 < lUOutput2 [3:0] $end
+$var wire 4 = lUOutput1 [3:0] $end
+$var wire 12 > bcd [11:0] $end
+$var wire 4 ? add_Y [3:0] $end
+$var wire 4 @ aUtemp2 [3:0] $end
+$var wire 4 A aUtemp1 [3:0] $end
+$var wire 8 B Y [7:0] $end
+$var wire 1 C CarryOUT $end
+$scope module aU $end
+$var wire 4 D A [3:0] $end
+$var wire 4 E B [3:0] $end
+$var wire 1 0 CarryIN $end
+$var wire 1 C CarryOUT $end
+$var wire 2 F opCode [1:0] $end
+$var wire 1 9 overflow $end
+$var wire 1 G tempCAdd $end
+$var wire 1 H tempCSub $end
+$var wire 1 I tempoverflow $end
+$var wire 4 J sub_Y [3:0] $end
+$var wire 4 K subY [3:0] $end
+$var wire 4 L add_Y [3:0] $end
+$var wire 4 M addY [3:0] $end
+$var wire 1 N CarryOUTSUB $end
+$var wire 1 O CarryOUTADD $end
+$scope module a1 $end
+$var wire 4 P A [3:0] $end
+$var wire 4 Q B [3:0] $end
+$var wire 1 0 CarryIN $end
+$var wire 1 I overflow $end
+$var wire 4 R Y [3:0] $end
+$var wire 1 O CarryOUT $end
+$var wire 3 S Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 T A $end
+$var wire 1 U B $end
+$var wire 1 0 Carry $end
+$var wire 1 V CarryO $end
+$var wire 1 W xor1 $end
+$var wire 1 X and2 $end
+$var wire 1 Y and1 $end
+$var wire 1 Z Sum $end
+$scope module h1 $end
+$var wire 1 T A $end
+$var wire 1 U B $end
+$var wire 1 Y Carry $end
+$var wire 1 W Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 W A $end
+$var wire 1 0 B $end
+$var wire 1 X Carry $end
+$var wire 1 Z Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 [ A $end
+$var wire 1 \ B $end
+$var wire 1 ] Carry $end
+$var wire 1 ^ CarryO $end
+$var wire 1 _ xor1 $end
+$var wire 1 ` and2 $end
+$var wire 1 a and1 $end
+$var wire 1 b Sum $end
+$scope module h1 $end
+$var wire 1 [ A $end
+$var wire 1 \ B $end
+$var wire 1 a Carry $end
+$var wire 1 _ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 _ A $end
+$var wire 1 ] B $end
+$var wire 1 ` Carry $end
+$var wire 1 b Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 c A $end
+$var wire 1 d B $end
+$var wire 1 e Carry $end
+$var wire 1 f CarryO $end
+$var wire 1 g xor1 $end
+$var wire 1 h and2 $end
+$var wire 1 i and1 $end
+$var wire 1 j Sum $end
+$scope module h1 $end
+$var wire 1 c A $end
+$var wire 1 d B $end
+$var wire 1 i Carry $end
+$var wire 1 g Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 g A $end
+$var wire 1 e B $end
+$var wire 1 h Carry $end
+$var wire 1 j Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 k A $end
+$var wire 1 l B $end
+$var wire 1 m Carry $end
+$var wire 1 O CarryO $end
+$var wire 1 n xor1 $end
+$var wire 1 o and2 $end
+$var wire 1 p and1 $end
+$var wire 1 q Sum $end
+$scope module h1 $end
+$var wire 1 k A $end
+$var wire 1 l B $end
+$var wire 1 p Carry $end
+$var wire 1 n Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 n A $end
+$var wire 1 m B $end
+$var wire 1 o Carry $end
+$var wire 1 q Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module s1 $end
+$var wire 4 r A [3:0] $end
+$var wire 4 s B [3:0] $end
+$var wire 1 0 BorrowIN $end
+$var wire 4 t tempB [3:0] $end
+$var wire 4 u Y [3:0] $end
+$var wire 1 N BorrowOUT $end
+$scope module f0 $end
+$var wire 1 v A $end
+$var wire 1 w B $end
+$var wire 1 0 BorrowIN $end
+$var wire 1 x BorrowOut $end
+$var wire 1 y tempD $end
+$var wire 1 z tempB2 $end
+$var wire 1 { tempB1 $end
+$var wire 1 | Difference $end
+$scope module hf1 $end
+$var wire 1 v A $end
+$var wire 1 w B $end
+$var wire 1 { Borrow $end
+$var wire 1 y Difference $end
+$var wire 1 } notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 y A $end
+$var wire 1 0 B $end
+$var wire 1 z Borrow $end
+$var wire 1 | Difference $end
+$var wire 1 ~ notA $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 !" A $end
+$var wire 1 "" B $end
+$var wire 1 #" BorrowIN $end
+$var wire 1 $" BorrowOut $end
+$var wire 1 %" tempD $end
+$var wire 1 &" tempB2 $end
+$var wire 1 '" tempB1 $end
+$var wire 1 (" Difference $end
+$scope module hf1 $end
+$var wire 1 !" A $end
+$var wire 1 "" B $end
+$var wire 1 '" Borrow $end
+$var wire 1 %" Difference $end
+$var wire 1 )" notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 %" A $end
+$var wire 1 #" B $end
+$var wire 1 &" Borrow $end
+$var wire 1 (" Difference $end
+$var wire 1 *" notA $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 +" A $end
+$var wire 1 ," B $end
+$var wire 1 -" BorrowIN $end
+$var wire 1 ." BorrowOut $end
+$var wire 1 /" tempD $end
+$var wire 1 0" tempB2 $end
+$var wire 1 1" tempB1 $end
+$var wire 1 2" Difference $end
+$scope module hf1 $end
+$var wire 1 +" A $end
+$var wire 1 ," B $end
+$var wire 1 1" Borrow $end
+$var wire 1 /" Difference $end
+$var wire 1 3" notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 /" A $end
+$var wire 1 -" B $end
+$var wire 1 0" Borrow $end
+$var wire 1 2" Difference $end
+$var wire 1 4" notA $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 5" A $end
+$var wire 1 6" B $end
+$var wire 1 7" BorrowIN $end
+$var wire 1 N BorrowOut $end
+$var wire 1 8" tempD $end
+$var wire 1 9" tempB2 $end
+$var wire 1 :" tempB1 $end
+$var wire 1 ;" Difference $end
+$scope module hf1 $end
+$var wire 1 5" A $end
+$var wire 1 6" B $end
+$var wire 1 :" Borrow $end
+$var wire 1 8" Difference $end
+$var wire 1 <" notA $end
+$upscope $end
+$scope module hf2 $end
+$var wire 1 8" A $end
+$var wire 1 7" B $end
+$var wire 1 9" Borrow $end
+$var wire 1 ;" Difference $end
+$var wire 1 =" notA $end
+$upscope $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module btod1 $end
+$var wire 8 >" binary [7:0] $end
+$var wire 1 ?" empty1 $end
+$var wire 1 @" empty2 $end
+$var wire 4 A" dab5 [3:0] $end
+$var wire 4 B" dab4 [3:0] $end
+$var wire 4 C" dab3 [3:0] $end
+$var wire 4 D" dab2 [3:0] $end
+$var wire 4 E" dab1 [3:0] $end
+$var wire 12 F" bcd [11:0] $end
+$scope module d1t $end
+$var wire 1 ?" A $end
+$var wire 1 G" B $end
+$var wire 1 H" C $end
+$var wire 1 I" D $end
+$var wire 1 J" E $end
+$var wire 1 K" X $end
+$var wire 1 L" Y $end
+$var wire 1 M" Z $end
+$var wire 1 N" nor1 $end
+$var wire 1 O" nor2 $end
+$var wire 1 P" nor3 $end
+$var wire 1 Q" or1 $end
+$var wire 1 R" xor1 $end
+$var wire 1 S" xor2 $end
+$upscope $end
+$scope module d2u $end
+$var wire 1 T" A $end
+$var wire 1 U" B $end
+$var wire 1 V" C $end
+$var wire 1 W" D $end
+$var wire 1 X" E $end
+$var wire 1 Y" X $end
+$var wire 1 Z" Y $end
+$var wire 1 [" Z $end
+$var wire 1 \" nor1 $end
+$var wire 1 ]" nor2 $end
+$var wire 1 ^" nor3 $end
+$var wire 1 _" or1 $end
+$var wire 1 `" xor1 $end
+$var wire 1 a" xor2 $end
+$upscope $end
+$scope module d3v $end
+$var wire 1 b" A $end
+$var wire 1 c" B $end
+$var wire 1 d" C $end
+$var wire 1 e" D $end
+$var wire 1 f" E $end
+$var wire 1 g" X $end
+$var wire 1 h" Y $end
+$var wire 1 i" Z $end
+$var wire 1 j" nor1 $end
+$var wire 1 k" nor2 $end
+$var wire 1 l" nor3 $end
+$var wire 1 m" or1 $end
+$var wire 1 n" xor1 $end
+$var wire 1 o" xor2 $end
+$upscope $end
+$scope module d4w $end
+$var wire 1 @" A $end
+$var wire 1 p" B $end
+$var wire 1 q" C $end
+$var wire 1 r" D $end
+$var wire 1 s" E $end
+$var wire 1 t" X $end
+$var wire 1 u" Y $end
+$var wire 1 v" Z $end
+$var wire 1 w" nor1 $end
+$var wire 1 x" nor2 $end
+$var wire 1 y" nor3 $end
+$var wire 1 z" or1 $end
+$var wire 1 {" xor1 $end
+$var wire 1 |" xor2 $end
+$upscope $end
+$scope module d5x $end
+$var wire 1 }" A $end
+$var wire 1 ~" B $end
+$var wire 1 !# C $end
+$var wire 1 "# D $end
+$var wire 1 ## E $end
+$var wire 1 $# X $end
+$var wire 1 %# Y $end
+$var wire 1 Z $end
+$var wire 1 '# nor1 $end
+$var wire 1 (# nor2 $end
+$var wire 1 )# nor3 $end
+$var wire 1 *# or1 $end
+$var wire 1 +# xor1 $end
+$var wire 1 ,# xor2 $end
+$upscope $end
+$scope module d6y $end
+$var wire 1 -# A $end
+$var wire 1 .# B $end
+$var wire 1 /# C $end
+$var wire 1 0# D $end
+$var wire 1 1# E $end
+$var wire 1 2# X $end
+$var wire 1 3# Y $end
+$var wire 1 4# Z $end
+$var wire 1 5# nor1 $end
+$var wire 1 6# nor2 $end
+$var wire 1 7# nor3 $end
+$var wire 1 8# or1 $end
+$var wire 1 9# xor1 $end
+$var wire 1 :# xor2 $end
+$upscope $end
+$scope module d7z $end
+$var wire 1 ;# A $end
+$var wire 1 <# B $end
+$var wire 1 =# C $end
+$var wire 1 ># D $end
+$var wire 1 ?# E $end
+$var wire 1 @# X $end
+$var wire 1 A# Y $end
+$var wire 1 B# Z $end
+$var wire 1 C# nor1 $end
+$var wire 1 D# nor2 $end
+$var wire 1 E# nor3 $end
+$var wire 1 F# or1 $end
+$var wire 1 G# xor1 $end
+$var wire 1 H# xor2 $end
+$upscope $end
+$upscope $end
+$scope module lU $end
+$var wire 4 I# A [3:0] $end
+$var wire 4 J# B [3:0] $end
+$var wire 3 K# opCode [2:0] $end
+$var wire 4 L# xor1 [3:0] $end
+$var wire 4 M# resultX [3:0] $end
+$var wire 4 N# resultO [3:0] $end
+$var wire 4 O# resultA [3:0] $end
+$var wire 4 P# or1 [3:0] $end
+$var wire 4 Q# and1 [3:0] $end
+$upscope $end
+$scope module mU $end
+$var wire 4 R# A [3:0] $end
+$var wire 4 S# B [3:0] $end
+$var wire 1 T# overflow2 $end
+$var wire 1 U# overflow1 $end
+$var wire 1 V# overflow0 $end
+$var wire 4 W# b0 [3:0] $end
+$var wire 4 X# a2 [3:0] $end
+$var wire 4 Y# a1 [3:0] $end
+$var wire 4 Z# a0 [3:0] $end
+$var wire 8 [# Y [7:0] $end
+$var wire 5 \# S2 [4:0] $end
+$var wire 5 ]# S1 [4:0] $end
+$var wire 5 ^# S0 [4:0] $end
+$scope module add0 $end
+$var wire 4 _# A [3:0] $end
+$var wire 4 `# B [3:0] $end
+$var wire 1 a# CarryIN $end
+$var wire 1 V# overflow $end
+$var wire 4 b# Y [3:0] $end
+$var wire 1 c# CarryOUT $end
+$var wire 3 d# Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 e# A $end
+$var wire 1 f# B $end
+$var wire 1 a# Carry $end
+$var wire 1 g# CarryO $end
+$var wire 1 h# xor1 $end
+$var wire 1 i# and2 $end
+$var wire 1 j# and1 $end
+$var wire 1 k# Sum $end
+$scope module h1 $end
+$var wire 1 e# A $end
+$var wire 1 f# B $end
+$var wire 1 j# Carry $end
+$var wire 1 h# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 h# A $end
+$var wire 1 a# B $end
+$var wire 1 i# Carry $end
+$var wire 1 k# Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 l# A $end
+$var wire 1 m# B $end
+$var wire 1 n# Carry $end
+$var wire 1 o# CarryO $end
+$var wire 1 p# xor1 $end
+$var wire 1 q# and2 $end
+$var wire 1 r# and1 $end
+$var wire 1 s# Sum $end
+$scope module h1 $end
+$var wire 1 l# A $end
+$var wire 1 m# B $end
+$var wire 1 r# Carry $end
+$var wire 1 p# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 p# A $end
+$var wire 1 n# B $end
+$var wire 1 q# Carry $end
+$var wire 1 s# Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 t# A $end
+$var wire 1 u# B $end
+$var wire 1 v# Carry $end
+$var wire 1 w# CarryO $end
+$var wire 1 x# xor1 $end
+$var wire 1 y# and2 $end
+$var wire 1 z# and1 $end
+$var wire 1 {# Sum $end
+$scope module h1 $end
+$var wire 1 t# A $end
+$var wire 1 u# B $end
+$var wire 1 z# Carry $end
+$var wire 1 x# Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 x# A $end
+$var wire 1 v# B $end
+$var wire 1 y# Carry $end
+$var wire 1 {# Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 |# A $end
+$var wire 1 }# B $end
+$var wire 1 ~# Carry $end
+$var wire 1 c# CarryO $end
+$var wire 1 !$ xor1 $end
+$var wire 1 "$ and2 $end
+$var wire 1 #$ and1 $end
+$var wire 1 $$ Sum $end
+$scope module h1 $end
+$var wire 1 |# A $end
+$var wire 1 }# B $end
+$var wire 1 #$ Carry $end
+$var wire 1 !$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 !$ A $end
+$var wire 1 ~# B $end
+$var wire 1 "$ Carry $end
+$var wire 1 $$ Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module add1 $end
+$var wire 4 %$ A [3:0] $end
+$var wire 4 &$ B [3:0] $end
+$var wire 1 '$ CarryIN $end
+$var wire 1 U# overflow $end
+$var wire 4 ($ Y [3:0] $end
+$var wire 1 )$ CarryOUT $end
+$var wire 3 *$ Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 +$ A $end
+$var wire 1 ,$ B $end
+$var wire 1 '$ Carry $end
+$var wire 1 -$ CarryO $end
+$var wire 1 .$ xor1 $end
+$var wire 1 /$ and2 $end
+$var wire 1 0$ and1 $end
+$var wire 1 1$ Sum $end
+$scope module h1 $end
+$var wire 1 +$ A $end
+$var wire 1 ,$ B $end
+$var wire 1 0$ Carry $end
+$var wire 1 .$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 .$ A $end
+$var wire 1 '$ B $end
+$var wire 1 /$ Carry $end
+$var wire 1 1$ Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 2$ A $end
+$var wire 1 3$ B $end
+$var wire 1 4$ Carry $end
+$var wire 1 5$ CarryO $end
+$var wire 1 6$ xor1 $end
+$var wire 1 7$ and2 $end
+$var wire 1 8$ and1 $end
+$var wire 1 9$ Sum $end
+$scope module h1 $end
+$var wire 1 2$ A $end
+$var wire 1 3$ B $end
+$var wire 1 8$ Carry $end
+$var wire 1 6$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 6$ A $end
+$var wire 1 4$ B $end
+$var wire 1 7$ Carry $end
+$var wire 1 9$ Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 :$ A $end
+$var wire 1 ;$ B $end
+$var wire 1 <$ Carry $end
+$var wire 1 =$ CarryO $end
+$var wire 1 >$ xor1 $end
+$var wire 1 ?$ and2 $end
+$var wire 1 @$ and1 $end
+$var wire 1 A$ Sum $end
+$scope module h1 $end
+$var wire 1 :$ A $end
+$var wire 1 ;$ B $end
+$var wire 1 @$ Carry $end
+$var wire 1 >$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 >$ A $end
+$var wire 1 <$ B $end
+$var wire 1 ?$ Carry $end
+$var wire 1 A$ Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 B$ A $end
+$var wire 1 C$ B $end
+$var wire 1 D$ Carry $end
+$var wire 1 )$ CarryO $end
+$var wire 1 E$ xor1 $end
+$var wire 1 F$ and2 $end
+$var wire 1 G$ and1 $end
+$var wire 1 H$ Sum $end
+$scope module h1 $end
+$var wire 1 B$ A $end
+$var wire 1 C$ B $end
+$var wire 1 G$ Carry $end
+$var wire 1 E$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 E$ A $end
+$var wire 1 D$ B $end
+$var wire 1 F$ Carry $end
+$var wire 1 H$ Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module add2 $end
+$var wire 4 I$ A [3:0] $end
+$var wire 4 J$ B [3:0] $end
+$var wire 1 K$ CarryIN $end
+$var wire 1 T# overflow $end
+$var wire 4 L$ Y [3:0] $end
+$var wire 1 M$ CarryOUT $end
+$var wire 3 N$ Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 O$ A $end
+$var wire 1 P$ B $end
+$var wire 1 K$ Carry $end
+$var wire 1 Q$ CarryO $end
+$var wire 1 R$ xor1 $end
+$var wire 1 S$ and2 $end
+$var wire 1 T$ and1 $end
+$var wire 1 U$ Sum $end
+$scope module h1 $end
+$var wire 1 O$ A $end
+$var wire 1 P$ B $end
+$var wire 1 T$ Carry $end
+$var wire 1 R$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 R$ A $end
+$var wire 1 K$ B $end
+$var wire 1 S$ Carry $end
+$var wire 1 U$ Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 V$ A $end
+$var wire 1 W$ B $end
+$var wire 1 X$ Carry $end
+$var wire 1 Y$ CarryO $end
+$var wire 1 Z$ xor1 $end
+$var wire 1 [$ and2 $end
+$var wire 1 \$ and1 $end
+$var wire 1 ]$ Sum $end
+$scope module h1 $end
+$var wire 1 V$ A $end
+$var wire 1 W$ B $end
+$var wire 1 \$ Carry $end
+$var wire 1 Z$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 Z$ A $end
+$var wire 1 X$ B $end
+$var wire 1 [$ Carry $end
+$var wire 1 ]$ Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 ^$ A $end
+$var wire 1 _$ B $end
+$var wire 1 `$ Carry $end
+$var wire 1 a$ CarryO $end
+$var wire 1 b$ xor1 $end
+$var wire 1 c$ and2 $end
+$var wire 1 d$ and1 $end
+$var wire 1 e$ Sum $end
+$scope module h1 $end
+$var wire 1 ^$ A $end
+$var wire 1 _$ B $end
+$var wire 1 d$ Carry $end
+$var wire 1 b$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 b$ A $end
+$var wire 1 `$ B $end
+$var wire 1 c$ Carry $end
+$var wire 1 e$ Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 f$ A $end
+$var wire 1 g$ B $end
+$var wire 1 h$ Carry $end
+$var wire 1 M$ CarryO $end
+$var wire 1 i$ xor1 $end
+$var wire 1 j$ and2 $end
+$var wire 1 k$ and1 $end
+$var wire 1 l$ Sum $end
+$scope module h1 $end
+$var wire 1 f$ A $end
+$var wire 1 g$ B $end
+$var wire 1 k$ Carry $end
+$var wire 1 i$ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 i$ A $end
+$var wire 1 h$ B $end
+$var wire 1 j$ Carry $end
+$var wire 1 l$ Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module opCd $end
+$var wire 3 m$ A [2:0] $end
+$var wire 1 n$ and1 $end
+$var wire 1 o$ and2 $end
+$var wire 1 p$ and3 $end
+$var wire 1 q$ and4 $end
+$var wire 1 r$ notA $end
+$var wire 1 s$ notB $end
+$var wire 1 t$ notC $end
+$var wire 8 u$ opCode [7:0] $end
+$upscope $end
+$upscope $end
+$scope module s1 $end
+$var wire 4 v$ A [3:0] $end
+$var wire 12 w$ ALUY [11:0] $end
+$var wire 4 x$ B [3:0] $end
+$var wire 3 y$ opCodeA [2:0] $end
+$var wire 2 z$ select [1:0] $end
+$var reg 12 {$ Y [11:0] $end
+$upscope $end
+$upscope $end
+$upscope $end
+$enddefinitions $end
+#0
+$dumpvars
+b1111 {$
+b1 z$
+b0 y$
+b1111 x$
+b10100 w$
+b1111 v$
+b1 u$
+1t$
+1s$
+1r$
+1q$
+0p$
+0o$
+0n$
+b0 m$
+1l$
+1k$
+0j$
+0i$
+1h$
+1g$
+1f$
+1e$
+1d$
+0c$
+0b$
+1a$
+1`$
+1_$
+1^$
+0]$
+0\$
+1[$
+1Z$
+1Y$
+1X$
+0W$
+1V$
+0U$
+1T$
+0S$
+0R$
+1Q$
+1P$
+1O$
+b111 N$
+1M$
+b1100 L$
+0K$
+b1101 J$
+b1111 I$
+1H$
+1G$
+0F$
+0E$
+1D$
+1C$
+1B$
+0A$
+0@$
+1?$
+1>$
+1=$
+1<$
+0;$
+1:$
+19$
+18$
+07$
+06$
+15$
+14$
+13$
+12$
+01$
+10$
+0/$
+0.$
+1-$
+1,$
+1+$
+b111 *$
+1)$
+b1010 ($
+0'$
+b1011 &$
+b1111 %$
+0$$
+0#$
+1"$
+1!$
+1~#
+0}#
+1|#
+1{#
+1z#
+0y#
+0x#
+1w#
+1v#
+1u#
+1t#
+1s#
+1r#
+0q#
+0p#
+1o#
+1n#
+1m#
+1l#
+0k#
+1j#
+0i#
+0h#
+1g#
+1f#
+1e#
+b111 d#
+1c#
+b110 b#
+0a#
+b111 `#
+b1111 _#
+b10110 ^#
+b11010 ]#
+b11100 \#
+b11100001 [#
+b1111 Z#
+b1111 Y#
+b1111 X#
+b111 W#
+0V#
+0U#
+0T#
+b1111 S#
+b1111 R#
+b1111 Q#
+b1111 P#
+b0 O#
+b0 N#
+b0 M#
+b0 L#
+b0 K#
+b1111 J#
+b1111 I#
+1H#
+1G#
+1F#
+1E#
+0D#
+0C#
+1B#
+0A#
+1@#
+0?#
+1>#
+1=#
+1<#
+0;#
+0:#
+09#
+18#
+07#
+16#
+15#
+04#
+03#
+02#
+01#
+00#
+0/#
+0.#
+0-#
+1,#
+1+#
+1*#
+0)#
+0(#
+1'#
+1
+0%#
+0$#
+1##
+1"#
+1!#
+0~"
+0}"
+0|"
+0{"
+1z"
+0y"
+1x"
+1w"
+0v"
+0u"
+0t"
+0s"
+0r"
+0q"
+0p"
+0o"
+1n"
+1m"
+0l"
+0k"
+1j"
+0i"
+0h"
+0g"
+1f"
+1e"
+0d"
+0c"
+0b"
+0a"
+0`"
+1_"
+0^"
+1]"
+1\"
+0["
+0Z"
+0Y"
+0X"
+0W"
+0V"
+0U"
+0T"
+0S"
+0R"
+1Q"
+0P"
+1O"
+1N"
+0M"
+0L"
+0K"
+0J"
+0I"
+0H"
+0G"
+b10100 F"
+b0 E"
+b0 D"
+b1000 C"
+b0z B"
+b1100 A"
+0@"
+0?"
+b1110 >"
+1="
+0<"
+0;"
+0:"
+09"
+08"
+07"
+16"
+15"
+14"
+03"
+02"
+01"
+00"
+0/"
+0."
+0-"
+1,"
+1+"
+1*"
+0)"
+0("
+0'"
+0&"
+0%"
+0$"
+0#"
+1""
+1!"
+1~
+0}
+0|
+0{
+0z
+0y
+0x
+1w
+1v
+b0 u
+bz000 t
+b1111 s
+b1111 r
+1q
+1p
+0o
+0n
+1m
+1l
+1k
+1j
+1i
+0h
+0g
+1f
+1e
+1d
+1c
+1b
+1a
+0`
+0_
+1^
+1]
+1\
+1[
+0Z
+1Y
+0X
+0W
+1V
+1U
+1T
+b111 S
+b1110 R
+b1111 Q
+b1111 P
+1O
+0N
+b1110 M
+b1110 L
+b0 K
+b0 J
+0I
+0H
+1G
+b1 F
+b1111 E
+b1111 D
+1C
+b1110 B
+b1110 A
+b0 @
+b1110 ?
+b10100 >
+b0 =
+b0 <
+b1 ;
+b11100001 :
+09
+b0 8
+b0 7
+b0 6
+b0 5
+b1110 4
+b0 3
+b1110 2
+b0 1
+00
+b1111 /
+b1111 .
+b1111 -
+b1 ,
+b10100 +
+b1 *
+b0 )
+b1111 (
+b1111 '
+b1 &
+b0 %
+b1111 $
+b1111 #
+b1111 "
+b1 !
+$end
+#5
+1D#
+1(#
+0@#
+0E#
+1C#
+0<#
+0
+0,#
+0H#
+0A#
+0B#
+1k"
+0!#
+0=#
+1F#
+0n"
+b0 C"
+0f"
+0+#
+b0 A"
+0##
+0G#
+b1 +
+b1 >
+b1 F"
+b1 w$
+0?#
+0e"
+0"#
+0>#
+0O
+0M$
+b1 B
+b1 >"
+0I
+0g$
+0T#
+0m
+0h$
+b1 4
+0f
+0,$
+03$
+0<$
+0)$
+0a$
+0C$
+05$
+0U#
+b1 2
+0e
+b1 @
+0s#
+0{#
+0;$
+0D$
+0P$
+0W$
+0_$
+0`$
+0G
+0^
+0n#
+0v#
+0~#
+0c#
+b0 &$
+04$
+0=$
+b0 J$
+0X$
+0Y$
+b0 A
+b0 ?
+b0 L
+1#"
+1-"
+17"
+b1 5
+b1 J
+1H
+0g#
+0o#
+b0 d#
+0w#
+0"$
+b0 ^#
+b0 b#
+0$$
+b0 *$
+0-$
+01$
+07$
+09$
+0?$
+0A$
+0F$
+b0 ]#
+b0 ($
+0H$
+b0 N$
+0Q$
+0U$
+0[$
+0]$
+0c$
+0e$
+0j$
+b0 \#
+b0 L$
+0l$
+0]
+1x
+1$"
+bz111 t
+1."
+1N
+0j#
+0r#
+0z#
+0!$
+00$
+0.$
+08$
+06$
+0>$
+0G$
+0E$
+0T$
+0R$
+0Z$
+0d$
+0b$
+0k$
+0i$
+b10 F
+b0 S
+0V
+1Z
+0`
+1b
+0h
+1j
+0o
+b1111 M
+b1111 R
+1q
+1|
+0~
+1{
+0("
+0*"
+1'"
+02"
+04"
+11"
+b1 K
+b1 u
+0;"
+0="
+1:"
+0f#
+0m#
+0u#
+0e#
+0l#
+0t#
+0|#
+0+$
+02$
+0:$
+0B$
+0O$
+0V$
+0^$
+0f$
+0t$
+b10 ;
+b10 u$
+0Y
+1W
+0a
+1_
+0i
+1g
+0p
+1n
+1y
+1}
+1%"
+1)"
+1/"
+13"
+18"
+1<"
+b0 Q#
+b1111 L#
+b0 :
+b0 [#
+b0 W#
+b0 `#
+b0 Z#
+b0 _#
+b0 Y#
+b0 %$
+b0 X#
+b0 I$
+0T
+0[
+0c
+0k
+0v
+0!"
+0+"
+05"
+b1 %
+b1 )
+b1 1
+b1 m$
+b1 y$
+b0 #
+b0 '
+b0 .
+b0 D
+b0 P
+b0 r
+b0 I#
+b0 R#
+b0 v$
+#10
+1@#
+1E#
+0C#
+1<#
+1B#
+1
+1H#
+1,#
+0D#
+0(#
+1=#
+0k"
+1!#
+1G#
+0?#
+1+#
+b1100 A"
+1##
+1n"
+b1000 C"
+1f"
+1>#
+1"#
+1e"
+b10100 +
+b10100 >
+b10100 F"
+b10100 w$
+b1110 B
+b1110 >"
+b1 \#
+b1 L$
+1U$
+1O
+1R$
+1o
+0q
+0I
+b1110 4
+1P$
+1m
+b1 J$
+1f
+b1110 2
+11$
+b11 ]#
+b11 ($
+19$
+1h
+0j
+1.$
+16$
+1e
+b1110 @
+1,$
+13$
+1^
+1("
+b11 &$
+12"
+1;"
+b0 !
+b0 ,
+0C
+1`
+0b
+b1110 5
+b1110 J
+0#"
+1k#
+1s#
+b111 ^#
+b111 b#
+1{#
+0-"
+07"
+0H
+1]
+0x
+1h#
+1p#
+1x#
+0$"
+bz000 t
+0."
+0N
+b111 S
+1V
+b0 M
+b0 R
+0Z
+b1110 K
+b1110 u
+0|
+1~
+0{
+1f#
+1m#
+1u#
+0'"
+01"
+0:"
+1Y
+0W
+0y
+0}
+0)"
+03"
+0<"
+b1 Q#
+b1110 L#
+b1111 :
+b1111 [#
+b111 W#
+b111 `#
+0\
+0d
+0l
+0""
+0,"
+06"
+1T
+1[
+1c
+1k
+1v
+1!"
+1+"
+15"
+b1 "
+b1 -
+b1 {$
+b1 $
+b1 (
+b1 /
+b1 E
+b1 Q
+b1 s
+b1 J#
+b1 S#
+b1 x$
+b1111 #
+b1111 '
+b1111 .
+b1111 D
+b1111 P
+b1111 r
+b1111 I#
+b1111 R#
+b1111 v$
+#15
+b10100 "
+b10100 -
+b10100 {$
+b11 &
+b11 *
+b11 z$
+#20
diff --git a/tangTest/bttnTB.v b/tangTest/bttnTB.v
new file mode 100644
index 0000000..4b1643a
--- /dev/null
+++ b/tangTest/bttnTB.v
@@ -0,0 +1,28 @@
+module bttnTB();
+
+reg [3:0] A,B;
+reg [2:0] opCodeA;
+reg [1:0] select;
+wire [1:0] led;
+wire [11:0] Y;
+
+bttn uut (
+ .A(A),
+ .B(B),
+ .opCodeA(opCodeA),
+ .select(select),
+ .led(led),
+ .Y(Y)
+);
+
+initial begin
+ $dumpfile("bttn.vcd");
+ $dumpvars;
+ A = 4'b1111; B = 4'b1111; opCodeA = 3'b000; select = 2'b01; #5;
+ A = 4'b0000; B = 4'b1111; opCodeA = 3'b001; select = 2'b01; #5;
+ A = 4'b1111; B = 4'b0001; opCodeA = 3'b001; select = 2'b01; #5;
+ A = 4'b1111; B = 4'b0001; opCodeA = 3'b001; select = 2'b11; #5;
+ $finish;
+end
+
+endmodule
diff --git a/spartanTest/dabble.v b/tangTest/dabble.v
similarity index 100%
rename from spartanTest/dabble.v
rename to tangTest/dabble.v
diff --git a/spartanTest/fulladder.v b/tangTest/fulladder.v
similarity index 100%
rename from spartanTest/fulladder.v
rename to tangTest/fulladder.v
diff --git a/spartanTest/fullsubtraction.v b/tangTest/fullsubtraction.v
similarity index 100%
rename from spartanTest/fullsubtraction.v
rename to tangTest/fullsubtraction.v
diff --git a/spartanTest/halfadder.v b/tangTest/halfadder.v
similarity index 100%
rename from spartanTest/halfadder.v
rename to tangTest/halfadder.v
diff --git a/spartanTest/halfsubtraction.v b/tangTest/halfsubtraction.v
similarity index 100%
rename from spartanTest/halfsubtraction.v
rename to tangTest/halfsubtraction.v
diff --git a/spartanTest/logicUnit.v b/tangTest/logicUnit.v
similarity index 100%
rename from spartanTest/logicUnit.v
rename to tangTest/logicUnit.v
diff --git a/tangTest/mult b/tangTest/mult
new file mode 100644
index 0000000..369f556
--- /dev/null
+++ b/tangTest/mult
@@ -0,0 +1,782 @@
+#! /usr/bin/vvp
+:ivl_version "11.0 (stable)";
+:ivl_delay_selection "TYPICAL";
+:vpi_time_precision + 0;
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/system.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_sys.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/vhdl_textio.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/v2005_math.vpi";
+:vpi_module "/usr/lib/x86_64-linux-gnu/ivl/va_math.vpi";
+S_0x560808805dd0 .scope module, "multTB" "multTB" 2 1;
+ .timescale 0 0;
+v0x56080883b1b0_0 .var "A", 3 0;
+v0x56080883b2a0_0 .var "B", 3 0;
+v0x56080883b370_0 .net "Y", 7 0, L_0x560808846dd0; 1 drivers
+S_0x560808804330 .scope module, "uut" "multiplier" 2 6, 3 1 0, S_0x560808805dd0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /OUTPUT 8 "Y";
+L_0x56080883b470 .functor AND 1, L_0x56080883b570, L_0x56080883b660, C4<1>, C4<1>;
+L_0x56080883b7a0 .functor AND 1, L_0x56080883b810, L_0x56080883b900, C4<1>, C4<1>;
+L_0x56080883ba20 .functor AND 1, L_0x56080883ba90, L_0x56080883bb80, C4<1>, C4<1>;
+L_0x56080883bc60 .functor AND 1, L_0x56080883bd00, L_0x56080883bda0, C4<1>, C4<1>;
+L_0x7f3ea6b4d018 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
+L_0x56080883c0c0 .functor NOT 1, L_0x7f3ea6b4d018, C4<0>, C4<0>, C4<0>;
+L_0x56080883c1d0 .functor AND 1, L_0x56080883c280, L_0x56080883c3d0, C4<1>, C4<1>;
+L_0x56080883c470 .functor AND 1, L_0x56080883c4e0, L_0x56080883c640, C4<1>, C4<1>;
+L_0x56080883c730 .functor AND 1, L_0x56080883c7f0, L_0x56080883c960, C4<1>, C4<1>;
+L_0x56080883c5d0 .functor AND 1, L_0x56080883cd10, L_0x56080883ce00, C4<1>, C4<1>;
+L_0x56080883ef10 .functor AND 1, L_0x56080883f360, L_0x56080883cef0, C4<1>, C4<1>;
+L_0x56080883f4b0 .functor AND 1, L_0x56080883f520, L_0x56080883f680, C4<1>, C4<1>;
+L_0x56080883f720 .functor AND 1, L_0x56080883f800, L_0x56080883f9c0, C4<1>, C4<1>;
+L_0x56080883fd70 .functor AND 1, L_0x56080883fe30, L_0x56080883ff20, C4<1>, C4<1>;
+L_0x5608088423d0 .functor AND 1, L_0x5608088429c0, L_0x560808842a60, C4<1>, C4<1>;
+L_0x56080883f790 .functor AND 1, L_0x560808842c10, L_0x560808842cb0, C4<1>, C4<1>;
+L_0x560808842ec0 .functor AND 1, L_0x560808842fc0, L_0x5608088430b0, C4<1>, C4<1>;
+L_0x5608088435d0 .functor AND 1, L_0x560808843690, L_0x5608088438c0, C4<1>, C4<1>;
+L_0x7f3ea6b4d138 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x560808845900 .functor OR 1, L_0x560808845f60, L_0x7f3ea6b4d138, C4<0>, C4<0>;
+L_0x7f3ea6b4d180 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x560808846160 .functor OR 1, L_0x5608088461d0, L_0x7f3ea6b4d180, C4<0>, C4<0>;
+L_0x7f3ea6b4d1c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x560808846310 .functor OR 1, L_0x560808845ec0, L_0x7f3ea6b4d1c8, C4<0>, C4<0>;
+L_0x7f3ea6b4d210 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x560808846690 .functor OR 1, L_0x560808846700, L_0x7f3ea6b4d210, C4<0>, C4<0>;
+L_0x7f3ea6b4d258 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x560808846840 .functor OR 1, L_0x560808846970, L_0x7f3ea6b4d258, C4<0>, C4<0>;
+L_0x7f3ea6b4d2a0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x560808846c70 .functor OR 1, L_0x560808846ce0, L_0x7f3ea6b4d2a0, C4<0>, C4<0>;
+L_0x7f3ea6b4d2e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+L_0x5608088472d0 .functor OR 1, L_0x560808847460, L_0x7f3ea6b4d2e8, C4<0>, C4<0>;
+v0x560808836240_0 .net "A", 3 0, v0x56080883b1b0_0; 1 drivers
+v0x560808836340_0 .net "B", 3 0, v0x56080883b2a0_0; 1 drivers
+v0x560808836420_0 .net "S0", 4 0, L_0x56080883f1d0; 1 drivers
+v0x5608088364e0_0 .net "S1", 4 0, L_0x560808842710; 1 drivers
+v0x5608088365c0_0 .net "S2", 4 0, L_0x560808845d90; 1 drivers
+v0x5608088366f0_0 .net "Y", 7 0, L_0x560808846dd0; alias, 1 drivers
+v0x5608088367d0_0 .net *"_ivl_1", 0 0, L_0x56080883b470; 1 drivers
+v0x5608088368b0_0 .net *"_ivl_10", 0 0, L_0x56080883b810; 1 drivers
+v0x560808836990_0 .net *"_ivl_101", 0 0, L_0x560808842a60; 1 drivers
+v0x560808836a70_0 .net *"_ivl_102", 0 0, L_0x56080883f790; 1 drivers
+v0x560808836b50_0 .net *"_ivl_105", 0 0, L_0x560808842c10; 1 drivers
+v0x560808836c30_0 .net *"_ivl_107", 0 0, L_0x560808842cb0; 1 drivers
+v0x560808836d10_0 .net *"_ivl_108", 0 0, L_0x560808842ec0; 1 drivers
+v0x560808836df0_0 .net *"_ivl_111", 0 0, L_0x560808842fc0; 1 drivers
+v0x560808836ed0_0 .net *"_ivl_113", 0 0, L_0x5608088430b0; 1 drivers
+v0x560808836fb0_0 .net *"_ivl_114", 0 0, L_0x5608088435d0; 1 drivers
+v0x560808837090_0 .net *"_ivl_118", 0 0, L_0x560808843690; 1 drivers
+v0x560808837170_0 .net *"_ivl_12", 0 0, L_0x56080883b900; 1 drivers
+v0x560808837250_0 .net *"_ivl_120", 0 0, L_0x5608088438c0; 1 drivers
+v0x560808837330_0 .net *"_ivl_13", 0 0, L_0x56080883ba20; 1 drivers
+v0x560808837410_0 .net *"_ivl_130", 0 0, L_0x560808845900; 1 drivers
+v0x5608088374f0_0 .net *"_ivl_133", 0 0, L_0x560808845f60; 1 drivers
+v0x5608088375d0_0 .net/2u *"_ivl_134", 0 0, L_0x7f3ea6b4d138; 1 drivers
+v0x5608088376b0_0 .net *"_ivl_136", 0 0, L_0x560808846160; 1 drivers
+v0x560808837790_0 .net *"_ivl_139", 0 0, L_0x5608088461d0; 1 drivers
+v0x560808837870_0 .net/2u *"_ivl_140", 0 0, L_0x7f3ea6b4d180; 1 drivers
+v0x560808837950_0 .net *"_ivl_142", 0 0, L_0x560808846310; 1 drivers
+v0x560808837a30_0 .net *"_ivl_145", 0 0, L_0x560808845ec0; 1 drivers
+v0x560808837b10_0 .net/2u *"_ivl_146", 0 0, L_0x7f3ea6b4d1c8; 1 drivers
+v0x560808837bf0_0 .net *"_ivl_148", 0 0, L_0x560808846690; 1 drivers
+v0x560808837cd0_0 .net *"_ivl_151", 0 0, L_0x560808846700; 1 drivers
+v0x560808837db0_0 .net/2u *"_ivl_152", 0 0, L_0x7f3ea6b4d210; 1 drivers
+v0x560808837e90_0 .net *"_ivl_154", 0 0, L_0x560808846840; 1 drivers
+v0x560808838180_0 .net *"_ivl_157", 0 0, L_0x560808846970; 1 drivers
+v0x560808838260_0 .net/2u *"_ivl_158", 0 0, L_0x7f3ea6b4d258; 1 drivers
+v0x560808838340_0 .net *"_ivl_16", 0 0, L_0x56080883ba90; 1 drivers
+v0x560808838420_0 .net *"_ivl_160", 0 0, L_0x560808846c70; 1 drivers
+v0x560808838500_0 .net *"_ivl_163", 0 0, L_0x560808846ce0; 1 drivers
+v0x5608088385e0_0 .net/2u *"_ivl_164", 0 0, L_0x7f3ea6b4d2a0; 1 drivers
+v0x5608088386c0_0 .net *"_ivl_166", 0 0, L_0x5608088472d0; 1 drivers
+v0x5608088387a0_0 .net *"_ivl_170", 0 0, L_0x560808847460; 1 drivers
+v0x560808838880_0 .net/2u *"_ivl_171", 0 0, L_0x7f3ea6b4d2e8; 1 drivers
+v0x560808838960_0 .net *"_ivl_18", 0 0, L_0x56080883bb80; 1 drivers
+v0x560808838a40_0 .net *"_ivl_19", 0 0, L_0x56080883bc60; 1 drivers
+v0x560808838b20_0 .net *"_ivl_22", 0 0, L_0x56080883bd00; 1 drivers
+v0x560808838c00_0 .net *"_ivl_24", 0 0, L_0x56080883bda0; 1 drivers
+v0x560808838ce0_0 .net *"_ivl_25", 0 0, L_0x56080883c0c0; 1 drivers
+v0x560808838dc0_0 .net/2u *"_ivl_28", 0 0, L_0x7f3ea6b4d018; 1 drivers
+v0x560808838ea0_0 .net *"_ivl_30", 0 0, L_0x56080883c1d0; 1 drivers
+v0x560808838f80_0 .net *"_ivl_33", 0 0, L_0x56080883c280; 1 drivers
+v0x560808839060_0 .net *"_ivl_35", 0 0, L_0x56080883c3d0; 1 drivers
+v0x560808839140_0 .net *"_ivl_36", 0 0, L_0x56080883c470; 1 drivers
+v0x560808839220_0 .net *"_ivl_39", 0 0, L_0x56080883c4e0; 1 drivers
+v0x560808839300_0 .net *"_ivl_4", 0 0, L_0x56080883b570; 1 drivers
+v0x5608088393e0_0 .net *"_ivl_41", 0 0, L_0x56080883c640; 1 drivers
+v0x5608088394c0_0 .net *"_ivl_42", 0 0, L_0x56080883c730; 1 drivers
+v0x5608088395a0_0 .net *"_ivl_45", 0 0, L_0x56080883c7f0; 1 drivers
+v0x560808839680_0 .net *"_ivl_47", 0 0, L_0x56080883c960; 1 drivers
+v0x560808839760_0 .net *"_ivl_48", 0 0, L_0x56080883c5d0; 1 drivers
+v0x560808839840_0 .net *"_ivl_52", 0 0, L_0x56080883cd10; 1 drivers
+v0x560808839920_0 .net *"_ivl_54", 0 0, L_0x56080883ce00; 1 drivers
+v0x560808839a00_0 .net *"_ivl_6", 0 0, L_0x56080883b660; 1 drivers
+v0x560808839ae0_0 .net *"_ivl_62", 0 0, L_0x56080883ef10; 1 drivers
+v0x560808839bc0_0 .net *"_ivl_65", 0 0, L_0x56080883f360; 1 drivers
+v0x560808839ca0_0 .net *"_ivl_67", 0 0, L_0x56080883cef0; 1 drivers
+v0x56080883a190_0 .net *"_ivl_68", 0 0, L_0x56080883f4b0; 1 drivers
+v0x56080883a270_0 .net *"_ivl_7", 0 0, L_0x56080883b7a0; 1 drivers
+v0x56080883a350_0 .net *"_ivl_71", 0 0, L_0x56080883f520; 1 drivers
+v0x56080883a430_0 .net *"_ivl_73", 0 0, L_0x56080883f680; 1 drivers
+v0x56080883a510_0 .net *"_ivl_74", 0 0, L_0x56080883f720; 1 drivers
+v0x56080883a5f0_0 .net *"_ivl_77", 0 0, L_0x56080883f800; 1 drivers
+v0x56080883a6d0_0 .net *"_ivl_79", 0 0, L_0x56080883f9c0; 1 drivers
+v0x56080883a7b0_0 .net *"_ivl_80", 0 0, L_0x56080883fd70; 1 drivers
+v0x56080883a890_0 .net *"_ivl_84", 0 0, L_0x56080883fe30; 1 drivers
+v0x56080883a970_0 .net *"_ivl_86", 0 0, L_0x56080883ff20; 1 drivers
+v0x56080883aa50_0 .net *"_ivl_96", 0 0, L_0x5608088423d0; 1 drivers
+v0x56080883ab30_0 .net *"_ivl_99", 0 0, L_0x5608088429c0; 1 drivers
+v0x56080883ac10_0 .net "a0", 3 0, L_0x56080883ca50; 1 drivers
+v0x56080883acd0_0 .net "a1", 3 0, L_0x56080883fab0; 1 drivers
+v0x56080883ada0_0 .net "a2", 3 0, L_0x560808842da0; 1 drivers
+v0x56080883ae70_0 .net "b0", 3 0, L_0x56080883bee0; 1 drivers
+v0x56080883af40_0 .net "overflow0", 0 0, L_0x56080883f020; 1 drivers
+v0x56080883b010_0 .net "overflow1", 0 0, L_0x5608088424e0; 1 drivers
+v0x56080883b0e0_0 .net "overflow2", 0 0, L_0x560808845a10; 1 drivers
+L_0x56080883b570 .part v0x56080883b1b0_0, 0, 1;
+L_0x56080883b660 .part v0x56080883b2a0_0, 0, 1;
+L_0x56080883b810 .part v0x56080883b1b0_0, 1, 1;
+L_0x56080883b900 .part v0x56080883b2a0_0, 0, 1;
+L_0x56080883ba90 .part v0x56080883b1b0_0, 2, 1;
+L_0x56080883bb80 .part v0x56080883b2a0_0, 0, 1;
+L_0x56080883bd00 .part v0x56080883b1b0_0, 3, 1;
+L_0x56080883bda0 .part v0x56080883b2a0_0, 0, 1;
+L_0x56080883bee0 .concat8 [ 1 1 1 1], L_0x56080883b7a0, L_0x56080883ba20, L_0x56080883bc60, L_0x56080883c0c0;
+L_0x56080883c280 .part v0x56080883b1b0_0, 0, 1;
+L_0x56080883c3d0 .part v0x56080883b2a0_0, 1, 1;
+L_0x56080883c4e0 .part v0x56080883b1b0_0, 1, 1;
+L_0x56080883c640 .part v0x56080883b2a0_0, 1, 1;
+L_0x56080883c7f0 .part v0x56080883b1b0_0, 2, 1;
+L_0x56080883c960 .part v0x56080883b2a0_0, 1, 1;
+L_0x56080883ca50 .concat8 [ 1 1 1 1], L_0x56080883c1d0, L_0x56080883c470, L_0x56080883c730, L_0x56080883c5d0;
+L_0x56080883cd10 .part v0x56080883b1b0_0, 3, 1;
+L_0x56080883ce00 .part v0x56080883b2a0_0, 1, 1;
+L_0x56080883f1d0 .concat8 [ 4 1 0 0], L_0x56080883ef80, L_0x56080883e990;
+L_0x56080883f360 .part v0x56080883b1b0_0, 0, 1;
+L_0x56080883cef0 .part v0x56080883b2a0_0, 2, 1;
+L_0x56080883f520 .part v0x56080883b1b0_0, 1, 1;
+L_0x56080883f680 .part v0x56080883b2a0_0, 2, 1;
+L_0x56080883f800 .part v0x56080883b1b0_0, 2, 1;
+L_0x56080883f9c0 .part v0x56080883b2a0_0, 2, 1;
+L_0x56080883fab0 .concat8 [ 1 1 1 1], L_0x56080883ef10, L_0x56080883f4b0, L_0x56080883f720, L_0x56080883fd70;
+L_0x56080883fe30 .part v0x56080883b1b0_0, 3, 1;
+L_0x56080883ff20 .part v0x56080883b2a0_0, 2, 1;
+L_0x560808842670 .part L_0x56080883f1d0, 1, 4;
+L_0x560808842710 .concat8 [ 4 1 0 0], L_0x560808842440, L_0x560808841dc0;
+L_0x5608088429c0 .part v0x56080883b1b0_0, 0, 1;
+L_0x560808842a60 .part v0x56080883b2a0_0, 3, 1;
+L_0x560808842c10 .part v0x56080883b1b0_0, 1, 1;
+L_0x560808842cb0 .part v0x56080883b2a0_0, 3, 1;
+L_0x560808842fc0 .part v0x56080883b1b0_0, 2, 1;
+L_0x5608088430b0 .part v0x56080883b2a0_0, 3, 1;
+L_0x560808842da0 .concat8 [ 1 1 1 1], L_0x5608088423d0, L_0x56080883f790, L_0x560808842ec0, L_0x5608088435d0;
+L_0x560808843690 .part v0x56080883b1b0_0, 3, 1;
+L_0x5608088438c0 .part v0x56080883b2a0_0, 3, 1;
+L_0x560808845ba0 .part L_0x560808842710, 1, 4;
+L_0x560808845d90 .concat8 [ 4 1 0 0], L_0x560808845970, L_0x560808845330;
+L_0x560808845f60 .part L_0x56080883f1d0, 0, 1;
+L_0x5608088461d0 .part L_0x560808842710, 0, 1;
+L_0x560808845ec0 .part L_0x560808845d90, 0, 1;
+L_0x560808846700 .part L_0x560808845d90, 1, 1;
+L_0x560808846970 .part L_0x560808845d90, 2, 1;
+L_0x560808846ce0 .part L_0x560808845d90, 3, 1;
+LS_0x560808846dd0_0_0 .concat8 [ 1 1 1 1], L_0x56080883b470, L_0x560808845900, L_0x560808846160, L_0x560808846310;
+LS_0x560808846dd0_0_4 .concat8 [ 1 1 1 1], L_0x560808846690, L_0x560808846840, L_0x560808846c70, L_0x5608088472d0;
+L_0x560808846dd0 .concat8 [ 4 4 0 0], LS_0x560808846dd0_0_0, LS_0x560808846dd0_0_4;
+L_0x560808847460 .part L_0x560808845d90, 4, 1;
+S_0x5608087fbca0 .scope module, "add0" "addition" 3 26, 4 1 0, S_0x560808804330;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x56080883f020 .functor XOR 1, L_0x56080883f090, L_0x56080883e990, C4<0>, C4<0>;
+v0x560808829b90_0 .net "A", 3 0, L_0x56080883ca50; alias, 1 drivers
+v0x560808829c70_0 .net "B", 3 0, L_0x56080883bee0; alias, 1 drivers
+v0x560808829d50_0 .net "Carry4", 2 0, L_0x56080883e400; 1 drivers
+L_0x7f3ea6b4d060 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x560808829e10_0 .net "CarryIN", 0 0, L_0x7f3ea6b4d060; 1 drivers
+v0x560808829f00_0 .net "CarryOUT", 0 0, L_0x56080883e990; 1 drivers
+v0x560808829ff0_0 .net "Y", 3 0, L_0x56080883ef80; 1 drivers
+v0x56080882a0b0_0 .net *"_ivl_39", 0 0, L_0x56080883f090; 1 drivers
+v0x56080882a190_0 .net "overflow", 0 0, L_0x56080883f020; alias, 1 drivers
+L_0x56080883d300 .part L_0x56080883ca50, 0, 1;
+L_0x56080883d3a0 .part L_0x56080883bee0, 0, 1;
+L_0x56080883d830 .part L_0x56080883ca50, 1, 1;
+L_0x56080883d9f0 .part L_0x56080883bee0, 1, 1;
+L_0x56080883dbb0 .part L_0x56080883e400, 0, 1;
+L_0x56080883dfe0 .part L_0x56080883ca50, 2, 1;
+L_0x56080883e150 .part L_0x56080883bee0, 2, 1;
+L_0x56080883e280 .part L_0x56080883e400, 1, 1;
+L_0x56080883e400 .concat8 [ 1 1 1 0], L_0x56080883d290, L_0x56080883d7c0, L_0x56080883df50;
+L_0x56080883ea90 .part L_0x56080883ca50, 3, 1;
+L_0x56080883ec20 .part L_0x56080883bee0, 3, 1;
+L_0x56080883ed50 .part L_0x56080883e400, 2, 1;
+L_0x56080883ef80 .concat8 [ 1 1 1 1], L_0x56080883d220, L_0x56080883d700, L_0x56080883dec0, L_0x56080883e8b0;
+L_0x56080883f090 .part L_0x56080883e400, 2, 1;
+S_0x5608087fa200 .scope module, "f0" "fulladder" 4 11, 5 1 0, S_0x5608087fbca0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x56080883d290 .functor OR 1, L_0x56080883cf90, L_0x56080883d160, C4<0>, C4<0>;
+v0x560808825840_0 .net "A", 0 0, L_0x56080883d300; 1 drivers
+v0x560808825900_0 .net "B", 0 0, L_0x56080883d3a0; 1 drivers
+v0x5608088259d0_0 .net "Carry", 0 0, L_0x7f3ea6b4d060; alias, 1 drivers
+v0x560808825ad0_0 .net "CarryO", 0 0, L_0x56080883d290; 1 drivers
+v0x560808825b70_0 .net "Sum", 0 0, L_0x56080883d220; 1 drivers
+v0x560808825c60_0 .net "and1", 0 0, L_0x56080883cf90; 1 drivers
+v0x560808825d30_0 .net "and2", 0 0, L_0x56080883d160; 1 drivers
+v0x560808825e00_0 .net "xor1", 0 0, L_0x56080883d0f0; 1 drivers
+S_0x5608087f1eb0 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x5608087fa200;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883cf90 .functor AND 1, L_0x56080883d300, L_0x56080883d3a0, C4<1>, C4<1>;
+L_0x56080883d0f0 .functor XOR 1, L_0x56080883d300, L_0x56080883d3a0, C4<0>, C4<0>;
+v0x56080880a2e0_0 .net "A", 0 0, L_0x56080883d300; alias, 1 drivers
+v0x560808809740_0 .net "B", 0 0, L_0x56080883d3a0; alias, 1 drivers
+v0x560808808a00_0 .net "Carry", 0 0, L_0x56080883cf90; alias, 1 drivers
+v0x56080878a680_0 .net "Sum", 0 0, L_0x56080883d0f0; alias, 1 drivers
+S_0x5608088252c0 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x5608087fa200;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883d160 .functor AND 1, L_0x56080883d0f0, L_0x7f3ea6b4d060, C4<1>, C4<1>;
+L_0x56080883d220 .functor XOR 1, L_0x56080883d0f0, L_0x7f3ea6b4d060, C4<0>, C4<0>;
+v0x5608088254c0_0 .net "A", 0 0, L_0x56080883d0f0; alias, 1 drivers
+v0x560808825560_0 .net "B", 0 0, L_0x7f3ea6b4d060; alias, 1 drivers
+v0x560808825600_0 .net "Carry", 0 0, L_0x56080883d160; alias, 1 drivers
+v0x5608088256d0_0 .net "Sum", 0 0, L_0x56080883d220; alias, 1 drivers
+S_0x560808825ef0 .scope module, "f1" "fulladder" 4 12, 5 1 0, S_0x5608087fbca0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x56080883d7c0 .functor OR 1, L_0x56080883d4d0, L_0x56080883d5b0, C4<0>, C4<0>;
+v0x560808826c70_0 .net "A", 0 0, L_0x56080883d830; 1 drivers
+v0x560808826d30_0 .net "B", 0 0, L_0x56080883d9f0; 1 drivers
+v0x560808826e00_0 .net "Carry", 0 0, L_0x56080883dbb0; 1 drivers
+v0x560808826f00_0 .net "CarryO", 0 0, L_0x56080883d7c0; 1 drivers
+v0x560808826fa0_0 .net "Sum", 0 0, L_0x56080883d700; 1 drivers
+v0x560808827090_0 .net "and1", 0 0, L_0x56080883d4d0; 1 drivers
+v0x560808827160_0 .net "and2", 0 0, L_0x56080883d5b0; 1 drivers
+v0x560808827230_0 .net "xor1", 0 0, L_0x56080883d540; 1 drivers
+S_0x5608088260d0 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x560808825ef0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883d4d0 .functor AND 1, L_0x56080883d830, L_0x56080883d9f0, C4<1>, C4<1>;
+L_0x56080883d540 .functor XOR 1, L_0x56080883d830, L_0x56080883d9f0, C4<0>, C4<0>;
+v0x5608088262e0_0 .net "A", 0 0, L_0x56080883d830; alias, 1 drivers
+v0x5608088263c0_0 .net "B", 0 0, L_0x56080883d9f0; alias, 1 drivers
+v0x560808826480_0 .net "Carry", 0 0, L_0x56080883d4d0; alias, 1 drivers
+v0x560808826550_0 .net "Sum", 0 0, L_0x56080883d540; alias, 1 drivers
+S_0x5608088266c0 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x560808825ef0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883d5b0 .functor AND 1, L_0x56080883d540, L_0x56080883dbb0, C4<1>, C4<1>;
+L_0x56080883d700 .functor XOR 1, L_0x56080883d540, L_0x56080883dbb0, C4<0>, C4<0>;
+v0x5608088268c0_0 .net "A", 0 0, L_0x56080883d540; alias, 1 drivers
+v0x560808826990_0 .net "B", 0 0, L_0x56080883dbb0; alias, 1 drivers
+v0x560808826a30_0 .net "Carry", 0 0, L_0x56080883d5b0; alias, 1 drivers
+v0x560808826b00_0 .net "Sum", 0 0, L_0x56080883d700; alias, 1 drivers
+S_0x560808827320 .scope module, "f2" "fulladder" 4 13, 5 1 0, S_0x5608087fbca0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x56080883df50 .functor OR 1, L_0x56080883dce0, L_0x56080883ddc0, C4<0>, C4<0>;
+v0x5608088280b0_0 .net "A", 0 0, L_0x56080883dfe0; 1 drivers
+v0x560808828170_0 .net "B", 0 0, L_0x56080883e150; 1 drivers
+v0x560808828240_0 .net "Carry", 0 0, L_0x56080883e280; 1 drivers
+v0x560808828340_0 .net "CarryO", 0 0, L_0x56080883df50; 1 drivers
+v0x5608088283e0_0 .net "Sum", 0 0, L_0x56080883dec0; 1 drivers
+v0x5608088284d0_0 .net "and1", 0 0, L_0x56080883dce0; 1 drivers
+v0x5608088285a0_0 .net "and2", 0 0, L_0x56080883ddc0; 1 drivers
+v0x560808828670_0 .net "xor1", 0 0, L_0x56080883dd50; 1 drivers
+S_0x560808827530 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x560808827320;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883dce0 .functor AND 1, L_0x56080883dfe0, L_0x56080883e150, C4<1>, C4<1>;
+L_0x56080883dd50 .functor XOR 1, L_0x56080883dfe0, L_0x56080883e150, C4<0>, C4<0>;
+v0x560808827740_0 .net "A", 0 0, L_0x56080883dfe0; alias, 1 drivers
+v0x560808827800_0 .net "B", 0 0, L_0x56080883e150; alias, 1 drivers
+v0x5608088278c0_0 .net "Carry", 0 0, L_0x56080883dce0; alias, 1 drivers
+v0x560808827990_0 .net "Sum", 0 0, L_0x56080883dd50; alias, 1 drivers
+S_0x560808827b00 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x560808827320;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883ddc0 .functor AND 1, L_0x56080883dd50, L_0x56080883e280, C4<1>, C4<1>;
+L_0x56080883dec0 .functor XOR 1, L_0x56080883dd50, L_0x56080883e280, C4<0>, C4<0>;
+v0x560808827d00_0 .net "A", 0 0, L_0x56080883dd50; alias, 1 drivers
+v0x560808827dd0_0 .net "B", 0 0, L_0x56080883e280; alias, 1 drivers
+v0x560808827e70_0 .net "Carry", 0 0, L_0x56080883ddc0; alias, 1 drivers
+v0x560808827f40_0 .net "Sum", 0 0, L_0x56080883dec0; alias, 1 drivers
+S_0x560808828760 .scope module, "f3" "fulladder" 4 14, 5 1 0, S_0x5608087fbca0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x56080883e990 .functor OR 1, L_0x56080883e4f0, L_0x56080883e720, C4<0>, C4<0>;
+v0x5608088294e0_0 .net "A", 0 0, L_0x56080883ea90; 1 drivers
+v0x5608088295a0_0 .net "B", 0 0, L_0x56080883ec20; 1 drivers
+v0x560808829670_0 .net "Carry", 0 0, L_0x56080883ed50; 1 drivers
+v0x560808829770_0 .net "CarryO", 0 0, L_0x56080883e990; alias, 1 drivers
+v0x560808829810_0 .net "Sum", 0 0, L_0x56080883e8b0; 1 drivers
+v0x560808829900_0 .net "and1", 0 0, L_0x56080883e4f0; 1 drivers
+v0x5608088299d0_0 .net "and2", 0 0, L_0x56080883e720; 1 drivers
+v0x560808829aa0_0 .net "xor1", 0 0, L_0x56080883e690; 1 drivers
+S_0x560808828940 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x560808828760;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883e4f0 .functor AND 1, L_0x56080883ea90, L_0x56080883ec20, C4<1>, C4<1>;
+L_0x56080883e690 .functor XOR 1, L_0x56080883ea90, L_0x56080883ec20, C4<0>, C4<0>;
+v0x560808828b50_0 .net "A", 0 0, L_0x56080883ea90; alias, 1 drivers
+v0x560808828c30_0 .net "B", 0 0, L_0x56080883ec20; alias, 1 drivers
+v0x560808828cf0_0 .net "Carry", 0 0, L_0x56080883e4f0; alias, 1 drivers
+v0x560808828dc0_0 .net "Sum", 0 0, L_0x56080883e690; alias, 1 drivers
+S_0x560808828f30 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x560808828760;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x56080883e720 .functor AND 1, L_0x56080883e690, L_0x56080883ed50, C4<1>, C4<1>;
+L_0x56080883e8b0 .functor XOR 1, L_0x56080883e690, L_0x56080883ed50, C4<0>, C4<0>;
+v0x560808829130_0 .net "A", 0 0, L_0x56080883e690; alias, 1 drivers
+v0x560808829200_0 .net "B", 0 0, L_0x56080883ed50; alias, 1 drivers
+v0x5608088292a0_0 .net "Carry", 0 0, L_0x56080883e720; alias, 1 drivers
+v0x560808829370_0 .net "Sum", 0 0, L_0x56080883e8b0; alias, 1 drivers
+S_0x56080882a310 .scope module, "add1" "addition" 3 42, 4 1 0, S_0x560808804330;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x5608088424e0 .functor XOR 1, L_0x560808842550, L_0x560808841dc0, C4<0>, C4<0>;
+v0x56080882fa20_0 .net "A", 3 0, L_0x56080883fab0; alias, 1 drivers
+v0x56080882fb00_0 .net "B", 3 0, L_0x560808842670; 1 drivers
+v0x56080882fbe0_0 .net "Carry4", 2 0, L_0x560808841830; 1 drivers
+L_0x7f3ea6b4d0a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x56080882fca0_0 .net "CarryIN", 0 0, L_0x7f3ea6b4d0a8; 1 drivers
+v0x56080882fd90_0 .net "CarryOUT", 0 0, L_0x560808841dc0; 1 drivers
+v0x56080882fe80_0 .net "Y", 3 0, L_0x560808842440; 1 drivers
+v0x56080882ff40_0 .net *"_ivl_39", 0 0, L_0x560808842550; 1 drivers
+v0x560808830020_0 .net "overflow", 0 0, L_0x5608088424e0; alias, 1 drivers
+L_0x560808840590 .part L_0x56080883fab0, 0, 1;
+L_0x5608088406c0 .part L_0x560808842670, 0, 1;
+L_0x560808840bf0 .part L_0x56080883fab0, 1, 1;
+L_0x560808840db0 .part L_0x560808842670, 1, 1;
+L_0x560808840ee0 .part L_0x560808841830, 0, 1;
+L_0x560808841410 .part L_0x56080883fab0, 2, 1;
+L_0x560808841580 .part L_0x560808842670, 2, 1;
+L_0x5608088416b0 .part L_0x560808841830, 1, 1;
+L_0x560808841830 .concat8 [ 1 1 1 0], L_0x560808840520, L_0x560808840b60, L_0x560808841380;
+L_0x560808841ec0 .part L_0x56080883fab0, 3, 1;
+L_0x560808842050 .part L_0x560808842670, 3, 1;
+L_0x560808842210 .part L_0x560808841830, 2, 1;
+L_0x560808842440 .concat8 [ 1 1 1 1], L_0x560808840420, L_0x560808840a80, L_0x5608088412a0, L_0x560808841ce0;
+L_0x560808842550 .part L_0x560808841830, 2, 1;
+S_0x56080882a5b0 .scope module, "f0" "fulladder" 4 11, 5 1 0, S_0x56080882a310;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x560808840520 .functor OR 1, L_0x560808840100, L_0x5608088402d0, C4<0>, C4<0>;
+v0x56080882b430_0 .net "A", 0 0, L_0x560808840590; 1 drivers
+v0x56080882b4f0_0 .net "B", 0 0, L_0x5608088406c0; 1 drivers
+v0x56080882b5c0_0 .net "Carry", 0 0, L_0x7f3ea6b4d0a8; alias, 1 drivers
+v0x56080882b6c0_0 .net "CarryO", 0 0, L_0x560808840520; 1 drivers
+v0x56080882b760_0 .net "Sum", 0 0, L_0x560808840420; 1 drivers
+v0x56080882b850_0 .net "and1", 0 0, L_0x560808840100; 1 drivers
+v0x56080882b920_0 .net "and2", 0 0, L_0x5608088402d0; 1 drivers
+v0x56080882b9f0_0 .net "xor1", 0 0, L_0x560808840260; 1 drivers
+S_0x56080882a790 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x56080882a5b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808840100 .functor AND 1, L_0x560808840590, L_0x5608088406c0, C4<1>, C4<1>;
+L_0x560808840260 .functor XOR 1, L_0x560808840590, L_0x5608088406c0, C4<0>, C4<0>;
+v0x56080882aa30_0 .net "A", 0 0, L_0x560808840590; alias, 1 drivers
+v0x56080882ab10_0 .net "B", 0 0, L_0x5608088406c0; alias, 1 drivers
+v0x56080882abd0_0 .net "Carry", 0 0, L_0x560808840100; alias, 1 drivers
+v0x56080882aca0_0 .net "Sum", 0 0, L_0x560808840260; alias, 1 drivers
+S_0x56080882ae10 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x56080882a5b0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5608088402d0 .functor AND 1, L_0x560808840260, L_0x7f3ea6b4d0a8, C4<1>, C4<1>;
+L_0x560808840420 .functor XOR 1, L_0x560808840260, L_0x7f3ea6b4d0a8, C4<0>, C4<0>;
+v0x56080882b080_0 .net "A", 0 0, L_0x560808840260; alias, 1 drivers
+v0x56080882b150_0 .net "B", 0 0, L_0x7f3ea6b4d0a8; alias, 1 drivers
+v0x56080882b1f0_0 .net "Carry", 0 0, L_0x5608088402d0; alias, 1 drivers
+v0x56080882b2c0_0 .net "Sum", 0 0, L_0x560808840420; alias, 1 drivers
+S_0x56080882bae0 .scope module, "f1" "fulladder" 4 12, 5 1 0, S_0x56080882a310;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x560808840b60 .functor OR 1, L_0x5608088407f0, L_0x5608088408f0, C4<0>, C4<0>;
+v0x56080882c940_0 .net "A", 0 0, L_0x560808840bf0; 1 drivers
+v0x56080882ca00_0 .net "B", 0 0, L_0x560808840db0; 1 drivers
+v0x56080882cad0_0 .net "Carry", 0 0, L_0x560808840ee0; 1 drivers
+v0x56080882cbd0_0 .net "CarryO", 0 0, L_0x560808840b60; 1 drivers
+v0x56080882cc70_0 .net "Sum", 0 0, L_0x560808840a80; 1 drivers
+v0x56080882cd60_0 .net "and1", 0 0, L_0x5608088407f0; 1 drivers
+v0x56080882ce30_0 .net "and2", 0 0, L_0x5608088408f0; 1 drivers
+v0x56080882cf00_0 .net "xor1", 0 0, L_0x560808840860; 1 drivers
+S_0x56080882bcc0 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x56080882bae0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5608088407f0 .functor AND 1, L_0x560808840bf0, L_0x560808840db0, C4<1>, C4<1>;
+L_0x560808840860 .functor XOR 1, L_0x560808840bf0, L_0x560808840db0, C4<0>, C4<0>;
+v0x56080882bf40_0 .net "A", 0 0, L_0x560808840bf0; alias, 1 drivers
+v0x56080882c020_0 .net "B", 0 0, L_0x560808840db0; alias, 1 drivers
+v0x56080882c0e0_0 .net "Carry", 0 0, L_0x5608088407f0; alias, 1 drivers
+v0x56080882c1b0_0 .net "Sum", 0 0, L_0x560808840860; alias, 1 drivers
+S_0x56080882c320 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x56080882bae0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5608088408f0 .functor AND 1, L_0x560808840860, L_0x560808840ee0, C4<1>, C4<1>;
+L_0x560808840a80 .functor XOR 1, L_0x560808840860, L_0x560808840ee0, C4<0>, C4<0>;
+v0x56080882c590_0 .net "A", 0 0, L_0x560808840860; alias, 1 drivers
+v0x56080882c660_0 .net "B", 0 0, L_0x560808840ee0; alias, 1 drivers
+v0x56080882c700_0 .net "Carry", 0 0, L_0x5608088408f0; alias, 1 drivers
+v0x56080882c7d0_0 .net "Sum", 0 0, L_0x560808840a80; alias, 1 drivers
+S_0x56080882cff0 .scope module, "f2" "fulladder" 4 13, 5 1 0, S_0x56080882a310;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x560808841380 .functor OR 1, L_0x560808841010, L_0x560808841110, C4<0>, C4<0>;
+v0x56080882de60_0 .net "A", 0 0, L_0x560808841410; 1 drivers
+v0x56080882df20_0 .net "B", 0 0, L_0x560808841580; 1 drivers
+v0x56080882dff0_0 .net "Carry", 0 0, L_0x5608088416b0; 1 drivers
+v0x56080882e0f0_0 .net "CarryO", 0 0, L_0x560808841380; 1 drivers
+v0x56080882e190_0 .net "Sum", 0 0, L_0x5608088412a0; 1 drivers
+v0x56080882e280_0 .net "and1", 0 0, L_0x560808841010; 1 drivers
+v0x56080882e350_0 .net "and2", 0 0, L_0x560808841110; 1 drivers
+v0x56080882e420_0 .net "xor1", 0 0, L_0x560808841080; 1 drivers
+S_0x56080882d200 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x56080882cff0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808841010 .functor AND 1, L_0x560808841410, L_0x560808841580, C4<1>, C4<1>;
+L_0x560808841080 .functor XOR 1, L_0x560808841410, L_0x560808841580, C4<0>, C4<0>;
+v0x56080882d480_0 .net "A", 0 0, L_0x560808841410; alias, 1 drivers
+v0x56080882d540_0 .net "B", 0 0, L_0x560808841580; alias, 1 drivers
+v0x56080882d600_0 .net "Carry", 0 0, L_0x560808841010; alias, 1 drivers
+v0x56080882d6d0_0 .net "Sum", 0 0, L_0x560808841080; alias, 1 drivers
+S_0x56080882d840 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x56080882cff0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808841110 .functor AND 1, L_0x560808841080, L_0x5608088416b0, C4<1>, C4<1>;
+L_0x5608088412a0 .functor XOR 1, L_0x560808841080, L_0x5608088416b0, C4<0>, C4<0>;
+v0x56080882dab0_0 .net "A", 0 0, L_0x560808841080; alias, 1 drivers
+v0x56080882db80_0 .net "B", 0 0, L_0x5608088416b0; alias, 1 drivers
+v0x56080882dc20_0 .net "Carry", 0 0, L_0x560808841110; alias, 1 drivers
+v0x56080882dcf0_0 .net "Sum", 0 0, L_0x5608088412a0; alias, 1 drivers
+S_0x56080882e510 .scope module, "f3" "fulladder" 4 14, 5 1 0, S_0x56080882a310;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x560808841dc0 .functor OR 1, L_0x560808841920, L_0x560808841b50, C4<0>, C4<0>;
+v0x56080882f370_0 .net "A", 0 0, L_0x560808841ec0; 1 drivers
+v0x56080882f430_0 .net "B", 0 0, L_0x560808842050; 1 drivers
+v0x56080882f500_0 .net "Carry", 0 0, L_0x560808842210; 1 drivers
+v0x56080882f600_0 .net "CarryO", 0 0, L_0x560808841dc0; alias, 1 drivers
+v0x56080882f6a0_0 .net "Sum", 0 0, L_0x560808841ce0; 1 drivers
+v0x56080882f790_0 .net "and1", 0 0, L_0x560808841920; 1 drivers
+v0x56080882f860_0 .net "and2", 0 0, L_0x560808841b50; 1 drivers
+v0x56080882f930_0 .net "xor1", 0 0, L_0x560808841ac0; 1 drivers
+S_0x56080882e6f0 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x56080882e510;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808841920 .functor AND 1, L_0x560808841ec0, L_0x560808842050, C4<1>, C4<1>;
+L_0x560808841ac0 .functor XOR 1, L_0x560808841ec0, L_0x560808842050, C4<0>, C4<0>;
+v0x56080882e970_0 .net "A", 0 0, L_0x560808841ec0; alias, 1 drivers
+v0x56080882ea50_0 .net "B", 0 0, L_0x560808842050; alias, 1 drivers
+v0x56080882eb10_0 .net "Carry", 0 0, L_0x560808841920; alias, 1 drivers
+v0x56080882ebe0_0 .net "Sum", 0 0, L_0x560808841ac0; alias, 1 drivers
+S_0x56080882ed50 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x56080882e510;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808841b50 .functor AND 1, L_0x560808841ac0, L_0x560808842210, C4<1>, C4<1>;
+L_0x560808841ce0 .functor XOR 1, L_0x560808841ac0, L_0x560808842210, C4<0>, C4<0>;
+v0x56080882efc0_0 .net "A", 0 0, L_0x560808841ac0; alias, 1 drivers
+v0x56080882f090_0 .net "B", 0 0, L_0x560808842210; alias, 1 drivers
+v0x56080882f130_0 .net "Carry", 0 0, L_0x560808841b50; alias, 1 drivers
+v0x56080882f200_0 .net "Sum", 0 0, L_0x560808841ce0; alias, 1 drivers
+S_0x5608088301a0 .scope module, "add2" "addition" 3 58, 4 1 0, S_0x560808804330;
+ .timescale 0 0;
+ .port_info 0 /INPUT 4 "A";
+ .port_info 1 /INPUT 4 "B";
+ .port_info 2 /INPUT 1 "CarryIN";
+ .port_info 3 /OUTPUT 4 "Y";
+ .port_info 4 /OUTPUT 1 "CarryOUT";
+ .port_info 5 /OUTPUT 1 "overflow";
+L_0x560808845a10 .functor XOR 1, L_0x560808845a80, L_0x560808845330, C4<0>, C4<0>;
+v0x560808835ac0_0 .net "A", 3 0, L_0x560808842da0; alias, 1 drivers
+v0x560808835ba0_0 .net "B", 3 0, L_0x560808845ba0; 1 drivers
+v0x560808835c80_0 .net "Carry4", 2 0, L_0x560808844e60; 1 drivers
+L_0x7f3ea6b4d0f0 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
+v0x560808835d40_0 .net "CarryIN", 0 0, L_0x7f3ea6b4d0f0; 1 drivers
+v0x560808835e30_0 .net "CarryOUT", 0 0, L_0x560808845330; 1 drivers
+v0x560808835f20_0 .net "Y", 3 0, L_0x560808845970; 1 drivers
+v0x560808835fe0_0 .net *"_ivl_39", 0 0, L_0x560808845a80; 1 drivers
+v0x5608088360c0_0 .net "overflow", 0 0, L_0x560808845a10; alias, 1 drivers
+L_0x560808843e40 .part L_0x560808842da0, 0, 1;
+L_0x560808843f70 .part L_0x560808845ba0, 0, 1;
+L_0x560808844360 .part L_0x560808842da0, 1, 1;
+L_0x560808844520 .part L_0x560808845ba0, 1, 1;
+L_0x560808844650 .part L_0x560808844e60, 0, 1;
+L_0x560808844a40 .part L_0x560808842da0, 2, 1;
+L_0x560808844bb0 .part L_0x560808845ba0, 2, 1;
+L_0x560808844ce0 .part L_0x560808844e60, 1, 1;
+L_0x560808844e60 .concat8 [ 1 1 1 0], L_0x560808843dd0, L_0x5608088442f0, L_0x5608088449d0;
+L_0x5608088453f0 .part L_0x560808842da0, 3, 1;
+L_0x560808845580 .part L_0x560808845ba0, 3, 1;
+L_0x560808845740 .part L_0x560808844e60, 2, 1;
+L_0x560808845970 .concat8 [ 1 1 1 1], L_0x560808843cd0, L_0x560808844280, L_0x560808844960, L_0x560808845270;
+L_0x560808845a80 .part L_0x560808844e60, 2, 1;
+S_0x560808830420 .scope module, "f0" "fulladder" 4 11, 5 1 0, S_0x5608088301a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x560808843dd0 .functor OR 1, L_0x5608088439b0, L_0x560808843b80, C4<0>, C4<0>;
+v0x560808831350_0 .net "A", 0 0, L_0x560808843e40; 1 drivers
+v0x560808831410_0 .net "B", 0 0, L_0x560808843f70; 1 drivers
+v0x5608088314e0_0 .net "Carry", 0 0, L_0x7f3ea6b4d0f0; alias, 1 drivers
+v0x5608088315e0_0 .net "CarryO", 0 0, L_0x560808843dd0; 1 drivers
+v0x560808831680_0 .net "Sum", 0 0, L_0x560808843cd0; 1 drivers
+v0x560808831770_0 .net "and1", 0 0, L_0x5608088439b0; 1 drivers
+v0x560808831840_0 .net "and2", 0 0, L_0x560808843b80; 1 drivers
+v0x560808831910_0 .net "xor1", 0 0, L_0x560808843b10; 1 drivers
+S_0x5608088306b0 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x560808830420;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5608088439b0 .functor AND 1, L_0x560808843e40, L_0x560808843f70, C4<1>, C4<1>;
+L_0x560808843b10 .functor XOR 1, L_0x560808843e40, L_0x560808843f70, C4<0>, C4<0>;
+v0x560808830950_0 .net "A", 0 0, L_0x560808843e40; alias, 1 drivers
+v0x560808830a30_0 .net "B", 0 0, L_0x560808843f70; alias, 1 drivers
+v0x560808830af0_0 .net "Carry", 0 0, L_0x5608088439b0; alias, 1 drivers
+v0x560808830bc0_0 .net "Sum", 0 0, L_0x560808843b10; alias, 1 drivers
+S_0x560808830d30 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x560808830420;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808843b80 .functor AND 1, L_0x560808843b10, L_0x7f3ea6b4d0f0, C4<1>, C4<1>;
+L_0x560808843cd0 .functor XOR 1, L_0x560808843b10, L_0x7f3ea6b4d0f0, C4<0>, C4<0>;
+v0x560808830fa0_0 .net "A", 0 0, L_0x560808843b10; alias, 1 drivers
+v0x560808831070_0 .net "B", 0 0, L_0x7f3ea6b4d0f0; alias, 1 drivers
+v0x560808831110_0 .net "Carry", 0 0, L_0x560808843b80; alias, 1 drivers
+v0x5608088311e0_0 .net "Sum", 0 0, L_0x560808843cd0; alias, 1 drivers
+S_0x560808831a00 .scope module, "f1" "fulladder" 4 12, 5 1 0, S_0x5608088301a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5608088442f0 .functor OR 1, L_0x5608088440a0, L_0x560808844180, C4<0>, C4<0>;
+v0x5608088328e0_0 .net "A", 0 0, L_0x560808844360; 1 drivers
+v0x5608088329a0_0 .net "B", 0 0, L_0x560808844520; 1 drivers
+v0x560808832a70_0 .net "Carry", 0 0, L_0x560808844650; 1 drivers
+v0x560808832b70_0 .net "CarryO", 0 0, L_0x5608088442f0; 1 drivers
+v0x560808832c10_0 .net "Sum", 0 0, L_0x560808844280; 1 drivers
+v0x560808832d00_0 .net "and1", 0 0, L_0x5608088440a0; 1 drivers
+v0x560808832dd0_0 .net "and2", 0 0, L_0x560808844180; 1 drivers
+v0x560808832ea0_0 .net "xor1", 0 0, L_0x560808844110; 1 drivers
+S_0x560808831c60 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x560808831a00;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x5608088440a0 .functor AND 1, L_0x560808844360, L_0x560808844520, C4<1>, C4<1>;
+L_0x560808844110 .functor XOR 1, L_0x560808844360, L_0x560808844520, C4<0>, C4<0>;
+v0x560808831ee0_0 .net "A", 0 0, L_0x560808844360; alias, 1 drivers
+v0x560808831fc0_0 .net "B", 0 0, L_0x560808844520; alias, 1 drivers
+v0x560808832080_0 .net "Carry", 0 0, L_0x5608088440a0; alias, 1 drivers
+v0x560808832150_0 .net "Sum", 0 0, L_0x560808844110; alias, 1 drivers
+S_0x5608088322c0 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x560808831a00;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808844180 .functor AND 1, L_0x560808844110, L_0x560808844650, C4<1>, C4<1>;
+L_0x560808844280 .functor XOR 1, L_0x560808844110, L_0x560808844650, C4<0>, C4<0>;
+v0x560808832530_0 .net "A", 0 0, L_0x560808844110; alias, 1 drivers
+v0x560808832600_0 .net "B", 0 0, L_0x560808844650; alias, 1 drivers
+v0x5608088326a0_0 .net "Carry", 0 0, L_0x560808844180; alias, 1 drivers
+v0x560808832770_0 .net "Sum", 0 0, L_0x560808844280; alias, 1 drivers
+S_0x560808832f90 .scope module, "f2" "fulladder" 4 13, 5 1 0, S_0x5608088301a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x5608088449d0 .functor OR 1, L_0x560808844780, L_0x560808844860, C4<0>, C4<0>;
+v0x560808833e80_0 .net "A", 0 0, L_0x560808844a40; 1 drivers
+v0x560808833f40_0 .net "B", 0 0, L_0x560808844bb0; 1 drivers
+v0x560808834010_0 .net "Carry", 0 0, L_0x560808844ce0; 1 drivers
+v0x560808834110_0 .net "CarryO", 0 0, L_0x5608088449d0; 1 drivers
+v0x5608088341b0_0 .net "Sum", 0 0, L_0x560808844960; 1 drivers
+v0x5608088342a0_0 .net "and1", 0 0, L_0x560808844780; 1 drivers
+v0x560808834370_0 .net "and2", 0 0, L_0x560808844860; 1 drivers
+v0x560808834440_0 .net "xor1", 0 0, L_0x5608088447f0; 1 drivers
+S_0x560808833220 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x560808832f90;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808844780 .functor AND 1, L_0x560808844a40, L_0x560808844bb0, C4<1>, C4<1>;
+L_0x5608088447f0 .functor XOR 1, L_0x560808844a40, L_0x560808844bb0, C4<0>, C4<0>;
+v0x5608088334a0_0 .net "A", 0 0, L_0x560808844a40; alias, 1 drivers
+v0x560808833560_0 .net "B", 0 0, L_0x560808844bb0; alias, 1 drivers
+v0x560808833620_0 .net "Carry", 0 0, L_0x560808844780; alias, 1 drivers
+v0x5608088336f0_0 .net "Sum", 0 0, L_0x5608088447f0; alias, 1 drivers
+S_0x560808833860 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x560808832f90;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808844860 .functor AND 1, L_0x5608088447f0, L_0x560808844ce0, C4<1>, C4<1>;
+L_0x560808844960 .functor XOR 1, L_0x5608088447f0, L_0x560808844ce0, C4<0>, C4<0>;
+v0x560808833ad0_0 .net "A", 0 0, L_0x5608088447f0; alias, 1 drivers
+v0x560808833ba0_0 .net "B", 0 0, L_0x560808844ce0; alias, 1 drivers
+v0x560808833c40_0 .net "Carry", 0 0, L_0x560808844860; alias, 1 drivers
+v0x560808833d10_0 .net "Sum", 0 0, L_0x560808844960; alias, 1 drivers
+S_0x560808834530 .scope module, "f3" "fulladder" 4 14, 5 1 0, S_0x5608088301a0;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /INPUT 1 "Carry";
+ .port_info 3 /OUTPUT 1 "Sum";
+ .port_info 4 /OUTPUT 1 "CarryO";
+L_0x560808845330 .functor OR 1, L_0x560808844f50, L_0x560808845120, C4<0>, C4<0>;
+v0x560808835410_0 .net "A", 0 0, L_0x5608088453f0; 1 drivers
+v0x5608088354d0_0 .net "B", 0 0, L_0x560808845580; 1 drivers
+v0x5608088355a0_0 .net "Carry", 0 0, L_0x560808845740; 1 drivers
+v0x5608088356a0_0 .net "CarryO", 0 0, L_0x560808845330; alias, 1 drivers
+v0x560808835740_0 .net "Sum", 0 0, L_0x560808845270; 1 drivers
+v0x560808835830_0 .net "and1", 0 0, L_0x560808844f50; 1 drivers
+v0x560808835900_0 .net "and2", 0 0, L_0x560808845120; 1 drivers
+v0x5608088359d0_0 .net "xor1", 0 0, L_0x5608088450b0; 1 drivers
+S_0x560808834790 .scope module, "h1" "halfadder" 5 8, 6 1 0, S_0x560808834530;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808844f50 .functor AND 1, L_0x5608088453f0, L_0x560808845580, C4<1>, C4<1>;
+L_0x5608088450b0 .functor XOR 1, L_0x5608088453f0, L_0x560808845580, C4<0>, C4<0>;
+v0x560808834a10_0 .net "A", 0 0, L_0x5608088453f0; alias, 1 drivers
+v0x560808834af0_0 .net "B", 0 0, L_0x560808845580; alias, 1 drivers
+v0x560808834bb0_0 .net "Carry", 0 0, L_0x560808844f50; alias, 1 drivers
+v0x560808834c80_0 .net "Sum", 0 0, L_0x5608088450b0; alias, 1 drivers
+S_0x560808834df0 .scope module, "h2" "halfadder" 5 9, 6 1 0, S_0x560808834530;
+ .timescale 0 0;
+ .port_info 0 /INPUT 1 "A";
+ .port_info 1 /INPUT 1 "B";
+ .port_info 2 /OUTPUT 1 "Sum";
+ .port_info 3 /OUTPUT 1 "Carry";
+L_0x560808845120 .functor AND 1, L_0x5608088450b0, L_0x560808845740, C4<1>, C4<1>;
+L_0x560808845270 .functor XOR 1, L_0x5608088450b0, L_0x560808845740, C4<0>, C4<0>;
+v0x560808835060_0 .net "A", 0 0, L_0x5608088450b0; alias, 1 drivers
+v0x560808835130_0 .net "B", 0 0, L_0x560808845740; alias, 1 drivers
+v0x5608088351d0_0 .net "Carry", 0 0, L_0x560808845120; alias, 1 drivers
+v0x5608088352a0_0 .net "Sum", 0 0, L_0x560808845270; alias, 1 drivers
+ .scope S_0x560808805dd0;
+T_0 ;
+ %vpi_call 2 13 "$dumpfile", "mult.vcd" {0 0 0};
+ %vpi_call 2 14 "$dumpvars" {0 0 0};
+ %pushi/vec4 8, 0, 4;
+ %store/vec4 v0x56080883b1b0_0, 0, 4;
+ %pushi/vec4 8, 0, 4;
+ %store/vec4 v0x56080883b2a0_0, 0, 4;
+ %delay 5, 0;
+ %end;
+ .thread T_0;
+# The file index is used to find the file name in the following table.
+:file_names 7;
+ "N/A";
+ "";
+ "multTB.v";
+ "multiplier.v";
+ "addition.v";
+ "fulladder.v";
+ "halfadder.v";
diff --git a/tangTest/mult.vcd b/tangTest/mult.vcd
new file mode 100644
index 0000000..d3e1655
--- /dev/null
+++ b/tangTest/mult.vcd
@@ -0,0 +1,449 @@
+$date
+ Sun Jan 19 14:35:11 2025
+$end
+$version
+ Icarus Verilog
+$end
+$timescale
+ 1s
+$end
+$scope module multTB $end
+$var wire 8 ! Y [7:0] $end
+$var reg 4 " A [3:0] $end
+$var reg 4 # B [3:0] $end
+$scope module uut $end
+$var wire 4 $ A [3:0] $end
+$var wire 4 % B [3:0] $end
+$var wire 1 & overflow2 $end
+$var wire 1 ' overflow1 $end
+$var wire 1 ( overflow0 $end
+$var wire 4 ) b0 [3:0] $end
+$var wire 4 * a2 [3:0] $end
+$var wire 4 + a1 [3:0] $end
+$var wire 4 , a0 [3:0] $end
+$var wire 8 - Y [7:0] $end
+$var wire 5 . S2 [4:0] $end
+$var wire 5 / S1 [4:0] $end
+$var wire 5 0 S0 [4:0] $end
+$scope module add0 $end
+$var wire 4 1 A [3:0] $end
+$var wire 4 2 B [3:0] $end
+$var wire 1 3 CarryIN $end
+$var wire 1 ( overflow $end
+$var wire 4 4 Y [3:0] $end
+$var wire 1 5 CarryOUT $end
+$var wire 3 6 Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 7 A $end
+$var wire 1 8 B $end
+$var wire 1 3 Carry $end
+$var wire 1 9 CarryO $end
+$var wire 1 : xor1 $end
+$var wire 1 ; and2 $end
+$var wire 1 < and1 $end
+$var wire 1 = Sum $end
+$scope module h1 $end
+$var wire 1 7 A $end
+$var wire 1 8 B $end
+$var wire 1 < Carry $end
+$var wire 1 : Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 : A $end
+$var wire 1 3 B $end
+$var wire 1 ; Carry $end
+$var wire 1 = Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 > A $end
+$var wire 1 ? B $end
+$var wire 1 @ Carry $end
+$var wire 1 A CarryO $end
+$var wire 1 B xor1 $end
+$var wire 1 C and2 $end
+$var wire 1 D and1 $end
+$var wire 1 E Sum $end
+$scope module h1 $end
+$var wire 1 > A $end
+$var wire 1 ? B $end
+$var wire 1 D Carry $end
+$var wire 1 B Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 B A $end
+$var wire 1 @ B $end
+$var wire 1 C Carry $end
+$var wire 1 E Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 F A $end
+$var wire 1 G B $end
+$var wire 1 H Carry $end
+$var wire 1 I CarryO $end
+$var wire 1 J xor1 $end
+$var wire 1 K and2 $end
+$var wire 1 L and1 $end
+$var wire 1 M Sum $end
+$scope module h1 $end
+$var wire 1 F A $end
+$var wire 1 G B $end
+$var wire 1 L Carry $end
+$var wire 1 J Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 J A $end
+$var wire 1 H B $end
+$var wire 1 K Carry $end
+$var wire 1 M Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 N A $end
+$var wire 1 O B $end
+$var wire 1 P Carry $end
+$var wire 1 5 CarryO $end
+$var wire 1 Q xor1 $end
+$var wire 1 R and2 $end
+$var wire 1 S and1 $end
+$var wire 1 T Sum $end
+$scope module h1 $end
+$var wire 1 N A $end
+$var wire 1 O B $end
+$var wire 1 S Carry $end
+$var wire 1 Q Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 Q A $end
+$var wire 1 P B $end
+$var wire 1 R Carry $end
+$var wire 1 T Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module add1 $end
+$var wire 4 U A [3:0] $end
+$var wire 4 V B [3:0] $end
+$var wire 1 W CarryIN $end
+$var wire 1 ' overflow $end
+$var wire 4 X Y [3:0] $end
+$var wire 1 Y CarryOUT $end
+$var wire 3 Z Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 [ A $end
+$var wire 1 \ B $end
+$var wire 1 W Carry $end
+$var wire 1 ] CarryO $end
+$var wire 1 ^ xor1 $end
+$var wire 1 _ and2 $end
+$var wire 1 ` and1 $end
+$var wire 1 a Sum $end
+$scope module h1 $end
+$var wire 1 [ A $end
+$var wire 1 \ B $end
+$var wire 1 ` Carry $end
+$var wire 1 ^ Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 ^ A $end
+$var wire 1 W B $end
+$var wire 1 _ Carry $end
+$var wire 1 a Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 b A $end
+$var wire 1 c B $end
+$var wire 1 d Carry $end
+$var wire 1 e CarryO $end
+$var wire 1 f xor1 $end
+$var wire 1 g and2 $end
+$var wire 1 h and1 $end
+$var wire 1 i Sum $end
+$scope module h1 $end
+$var wire 1 b A $end
+$var wire 1 c B $end
+$var wire 1 h Carry $end
+$var wire 1 f Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 f A $end
+$var wire 1 d B $end
+$var wire 1 g Carry $end
+$var wire 1 i Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 j A $end
+$var wire 1 k B $end
+$var wire 1 l Carry $end
+$var wire 1 m CarryO $end
+$var wire 1 n xor1 $end
+$var wire 1 o and2 $end
+$var wire 1 p and1 $end
+$var wire 1 q Sum $end
+$scope module h1 $end
+$var wire 1 j A $end
+$var wire 1 k B $end
+$var wire 1 p Carry $end
+$var wire 1 n Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 n A $end
+$var wire 1 l B $end
+$var wire 1 o Carry $end
+$var wire 1 q Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 r A $end
+$var wire 1 s B $end
+$var wire 1 t Carry $end
+$var wire 1 Y CarryO $end
+$var wire 1 u xor1 $end
+$var wire 1 v and2 $end
+$var wire 1 w and1 $end
+$var wire 1 x Sum $end
+$scope module h1 $end
+$var wire 1 r A $end
+$var wire 1 s B $end
+$var wire 1 w Carry $end
+$var wire 1 u Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 u A $end
+$var wire 1 t B $end
+$var wire 1 v Carry $end
+$var wire 1 x Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$scope module add2 $end
+$var wire 4 y A [3:0] $end
+$var wire 4 z B [3:0] $end
+$var wire 1 { CarryIN $end
+$var wire 1 & overflow $end
+$var wire 4 | Y [3:0] $end
+$var wire 1 } CarryOUT $end
+$var wire 3 ~ Carry4 [2:0] $end
+$scope module f0 $end
+$var wire 1 !" A $end
+$var wire 1 "" B $end
+$var wire 1 { Carry $end
+$var wire 1 #" CarryO $end
+$var wire 1 $" xor1 $end
+$var wire 1 %" and2 $end
+$var wire 1 &" and1 $end
+$var wire 1 '" Sum $end
+$scope module h1 $end
+$var wire 1 !" A $end
+$var wire 1 "" B $end
+$var wire 1 &" Carry $end
+$var wire 1 $" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 $" A $end
+$var wire 1 { B $end
+$var wire 1 %" Carry $end
+$var wire 1 '" Sum $end
+$upscope $end
+$upscope $end
+$scope module f1 $end
+$var wire 1 (" A $end
+$var wire 1 )" B $end
+$var wire 1 *" Carry $end
+$var wire 1 +" CarryO $end
+$var wire 1 ," xor1 $end
+$var wire 1 -" and2 $end
+$var wire 1 ." and1 $end
+$var wire 1 /" Sum $end
+$scope module h1 $end
+$var wire 1 (" A $end
+$var wire 1 )" B $end
+$var wire 1 ." Carry $end
+$var wire 1 ," Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 ," A $end
+$var wire 1 *" B $end
+$var wire 1 -" Carry $end
+$var wire 1 /" Sum $end
+$upscope $end
+$upscope $end
+$scope module f2 $end
+$var wire 1 0" A $end
+$var wire 1 1" B $end
+$var wire 1 2" Carry $end
+$var wire 1 3" CarryO $end
+$var wire 1 4" xor1 $end
+$var wire 1 5" and2 $end
+$var wire 1 6" and1 $end
+$var wire 1 7" Sum $end
+$scope module h1 $end
+$var wire 1 0" A $end
+$var wire 1 1" B $end
+$var wire 1 6" Carry $end
+$var wire 1 4" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 4" A $end
+$var wire 1 2" B $end
+$var wire 1 5" Carry $end
+$var wire 1 7" Sum $end
+$upscope $end
+$upscope $end
+$scope module f3 $end
+$var wire 1 8" A $end
+$var wire 1 9" B $end
+$var wire 1 :" Carry $end
+$var wire 1 } CarryO $end
+$var wire 1 ;" xor1 $end
+$var wire 1 <" and2 $end
+$var wire 1 =" and1 $end
+$var wire 1 >" Sum $end
+$scope module h1 $end
+$var wire 1 8" A $end
+$var wire 1 9" B $end
+$var wire 1 =" Carry $end
+$var wire 1 ;" Sum $end
+$upscope $end
+$scope module h2 $end
+$var wire 1 ;" A $end
+$var wire 1 :" B $end
+$var wire 1 <" Carry $end
+$var wire 1 >" Sum $end
+$upscope $end
+$upscope $end
+$upscope $end
+$upscope $end
+$upscope $end
+$enddefinitions $end
+#0
+$dumpvars
+1>"
+0="
+0<"
+1;"
+0:"
+09"
+18"
+07"
+06"
+05"
+04"
+03"
+02"
+01"
+00"
+0/"
+0."
+0-"
+0,"
+0+"
+0*"
+0)"
+0("
+0'"
+0&"
+0%"
+0$"
+0#"
+0""
+0!"
+b0 ~
+0}
+b1000 |
+0{
+b0 z
+b1000 y
+0x
+0w
+0v
+0u
+0t
+0s
+0r
+0q
+0p
+0o
+0n
+0m
+0l
+0k
+0j
+0i
+0h
+0g
+0f
+0e
+0d
+0c
+0b
+0a
+0`
+0_
+0^
+0]
+0\
+0[
+b0 Z
+0Y
+b0 X
+0W
+b0 V
+b0 U
+0T
+0S
+0R
+0Q
+0P
+0O
+0N
+0M
+0L
+0K
+0J
+0I
+0H
+0G
+0F
+0E
+0D
+0C
+0B
+0A
+0@
+0?
+0>
+0=
+0<
+0;
+0:
+09
+08
+07
+b0 6
+05
+b0 4
+03
+b0 2
+b0 1
+b0 0
+b0 /
+b1000 .
+b1000000 -
+b0 ,
+b0 +
+b1000 *
+b0 )
+0(
+0'
+0&
+b1000 %
+b1000 $
+b1000 #
+b1000 "
+b1000000 !
+$end
+#5
diff --git a/tangTest/multTB.v b/tangTest/multTB.v
new file mode 100644
index 0000000..b2b2b25
--- /dev/null
+++ b/tangTest/multTB.v
@@ -0,0 +1,18 @@
+module multTB();
+
+reg [3:0] A, B;
+wire [7:0] Y;
+
+multiplier uut (
+ .A(A),
+ .B(B),
+ .Y(Y)
+);
+
+initial begin
+ $dumpfile("mult.vcd");
+ $dumpvars;
+ A = 4'b1000; B = 4'b1000; #5;
+end
+
+endmodule
diff --git a/spartanTest/multiplier.v b/tangTest/multiplier.v
similarity index 100%
rename from spartanTest/multiplier.v
rename to tangTest/multiplier.v
diff --git a/spartanTest/opCode.v b/tangTest/opCode.v
similarity index 100%
rename from spartanTest/opCode.v
rename to tangTest/opCode.v
diff --git a/tangTest/selector.v b/tangTest/selector.v
new file mode 100644
index 0000000..f48440a
--- /dev/null
+++ b/tangTest/selector.v
@@ -0,0 +1,20 @@
+module selector (
+ input [3:0] A,
+ input [3:0] B,
+ input [2:0] opCodeA,
+ input [1:0] select,
+ input [11:0] ALUY,
+ output reg [11:0] Y
+);
+
+always @(*) begin
+ case (select)
+ 2'b00: Y = {8'b00000000, A}; // Zero-extend A to 8 bits
+ 2'b01: Y = {8'b00000000, B}; // Zero-extend B to 8 bits
+ 2'b10: Y = {9'b000000000, opCodeA}; // Zero-extend opCodeA to 8 bits
+ 2'b11: Y = ALUY; // Directly assign ALUY
+ default: Y = ALUY; // Default case for safety
+ endcase
+end
+
+endmodule
diff --git a/spartanTest/subtraction.v b/tangTest/subtraction.v
similarity index 100%
rename from spartanTest/subtraction.v
rename to tangTest/subtraction.v